chong電子秒表_第1頁
chong電子秒表_第2頁
chong電子秒表_第3頁
chong電子秒表_第4頁
chong電子秒表_第5頁
已閱讀5頁,還剩17頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、電子技術(shù)課程設(shè)計(jì)電子秒表學(xué)院:電子信息工程學(xué)院專業(yè)、班級:自動化051501班姓名:劉子彬?qū)W號:200515040116指導(dǎo)教師:閆曉梅2008年1月一.設(shè)計(jì)任務(wù)與要求1 .總體設(shè)計(jì)模塊22 .設(shè)計(jì)方案32 .總體框圖23 .選擇器件54 .功能模塊135 .總體設(shè)計(jì)電路圖19電子秒表一、設(shè)計(jì)任務(wù)與要求具有停止/啟動功能,當(dāng)啟動時,計(jì)數(shù)器先清零再開始計(jì)時,若不需要計(jì)時或暫停計(jì)數(shù)時,計(jì)數(shù)器立即停止,但數(shù)碼管保留所計(jì)時之值。二、總體框圖一.總體設(shè)計(jì)模塊設(shè)計(jì)思路:首先,根據(jù)設(shè)計(jì)題目要求,要實(shí)現(xiàn)電子秒表的設(shè)計(jì)就要選擇具有對時鐘脈沖計(jì)數(shù)和具有分頻功能的計(jì)數(shù)器。構(gòu)成計(jì)數(shù)循環(huán)產(chǎn)生電路模塊。其次,要選擇能夠產(chǎn)

2、生脈沖信號的電路對計(jì)數(shù)循環(huán)模塊進(jìn)行脈沖控制,如555定時器構(gòu)成的多諧振蕩器。計(jì)數(shù)器要按多諧振蕩器輸出脈沖頻率在規(guī)定時間內(nèi)實(shí)現(xiàn)狀態(tài)轉(zhuǎn)換。這部分電路構(gòu)成脈沖產(chǎn)生模塊。再次,選擇數(shù)碼管將計(jì)數(shù)循環(huán)電路模塊的狀態(tài)轉(zhuǎn)換用數(shù)字顯示出來,可選4輸入顯示數(shù)碼管也可選7輸入顯示數(shù)碼管。構(gòu)成譯碼顯示模塊。最后,設(shè)計(jì)控制電路,實(shí)現(xiàn)對秒表的暫停、計(jì)數(shù)等功能的控制。構(gòu)成秒表控制模塊。各模塊之間的控制關(guān)系如圖11所示。二.設(shè)計(jì)方案Zutk1:圖12多諧振蕩器電路:多諧振蕩器是一種自激振蕩器,在接通電源以后,不需要外加觸發(fā)信號,便能自動產(chǎn)生矩形脈沖。多諧振蕩器有很多種,例如對稱式多諧振蕩器,非對稱式多諧振蕩器,石英晶體多諧振

3、蕩器,555定時器構(gòu)成的多諧振蕩器等等。面對如此眾多選擇,我最終決定選擇555定時器構(gòu)成的多諧振蕩器,基于以下原因:1. CB555定時器屬于常見器件,是一種性能較好的時鐘源,類似石英晶體振蕩器雖然更為精確,但考慮到普遍性以及易實(shí)現(xiàn)性我選擇CB555這個器件。2. 555定時器構(gòu)成的多諧振蕩器,相對其他方案更容易調(diào)整輸出頻率,通過調(diào)節(jié)滑動變阻器就可實(shí)現(xiàn)頻率調(diào)整,調(diào)節(jié)方便快捷。節(jié)拍脈沖發(fā)生器電路:節(jié)拍脈沖發(fā)生器可選用移位寄存器構(gòu)成的三位環(huán)形計(jì)數(shù)器來實(shí)現(xiàn)。具三個輸出端根據(jù)輸入的單脈沖依次輸出高電平,可分別用作控制計(jì)數(shù)器清零,計(jì)時,停止3種狀態(tài)。單脈沖發(fā)生器電路:單脈沖發(fā)生器由開關(guān)構(gòu)成,為節(jié)拍發(fā)生器

4、提供時鐘脈沖。單脈沖發(fā)生器由開關(guān)來控制。每按一次開關(guān)就產(chǎn)生一個單脈沖,節(jié)拍脈沖發(fā)生器隨單脈沖而改變輸出狀態(tài),三個輸出隨單脈沖依次輸出高電平,從而實(shí)現(xiàn)3種狀態(tài)的轉(zhuǎn)換。與門:當(dāng)節(jié)拍脈沖發(fā)生器電路輸出計(jì)時狀態(tài)時,其輸出為高電平,與門的兩個輸入分別為脈沖信號和節(jié)拍脈沖發(fā)生器的計(jì)時控制輸出端,當(dāng)計(jì)時控制輸出為高電平時脈沖信號通過與門使計(jì)數(shù)器工作;當(dāng)計(jì)時控制輸出為低電平時,脈沖信號無法通過與門送到計(jì)數(shù)器,計(jì)數(shù)器不工作。計(jì)數(shù)器:我們所了解的計(jì)數(shù)器有很多種,如74LS160,74LS161,74LS190,74LS192等。結(jié)合本設(shè)計(jì)的具體情況,秒表的計(jì)數(shù)為十進(jìn)制,而這些計(jì)數(shù)器中74LS160為十進(jìn)制計(jì)數(shù)器且

5、在生活中廣泛應(yīng)用,比較普遍,此芯片還具有異步清零和同步置數(shù)功能可用于控制秒表清零和暫停等功能的實(shí)現(xiàn),因此選用74LS160計(jì)數(shù)器。譯碼顯示:常用的數(shù)碼管有4輸入的和7輸入的,結(jié)合本設(shè)計(jì),由于選用了74LS160計(jì)數(shù)器芯片,此芯片的輸出為4位,若選用7輸入數(shù)碼管還需增加BCI七段顯示譯碼器74LS48將74LS160的輸出經(jīng)譯碼后傳給7輸入數(shù)碼管,從而顯示數(shù)字。因此在本設(shè)計(jì)中使用4輸入數(shù)碼管。萬木2:圖13多諧振蕩器電路:設(shè)計(jì)思路與方案1相同,請參見方案1單穩(wěn)態(tài)觸發(fā)器電路:單穩(wěn)態(tài)觸發(fā)器有穩(wěn)態(tài)和和暫穩(wěn)態(tài)兩個不同的工作狀態(tài),在外界觸發(fā)脈沖作用下,能從穩(wěn)態(tài)翻轉(zhuǎn)到暫穩(wěn)態(tài),在暫穩(wěn)態(tài)維持一段時間后再自動返回

6、穩(wěn)態(tài)。利用單穩(wěn)態(tài)觸發(fā)器的這個特性可以實(shí)現(xiàn)對計(jì)數(shù)器的清零控制。當(dāng)其輸出為高電平時,使各計(jì)數(shù)芯片的異步清零端置為低電平(經(jīng)過反相器),完成計(jì)數(shù)器的復(fù)位。由于采用了單穩(wěn)態(tài)觸發(fā)電路,具輸出高電平為暫穩(wěn)態(tài),高電平僅維持很短時間,暫態(tài)結(jié)束后便進(jìn)入正常計(jì)時狀態(tài)。單穩(wěn)態(tài)觸發(fā)器有很多類型,例如用門電路組成的微分型單穩(wěn)態(tài)觸發(fā)器,積分型單穩(wěn)態(tài)觸發(fā)器,集成單穩(wěn)態(tài)觸發(fā)器如TTL集成單穩(wěn)態(tài)觸發(fā)器,還有用555定時器接成的單穩(wěn)態(tài)觸發(fā)器。在眾多器件中我選擇用555定時器接成的單穩(wěn)態(tài)觸發(fā)器。原因如下:1,由于在多諧振蕩器電路中選擇了555定時器,在構(gòu)成單穩(wěn)態(tài)觸發(fā)器的電路中也選用555定時器搭建可以使電路使用芯片集中化,便于理解

7、和連線。2,同樣用555定時器接成的單穩(wěn)態(tài)觸發(fā)器利用滑動變阻器就可以較方便的計(jì)算和調(diào)節(jié)其暫穩(wěn)態(tài)時間,使其滿足實(shí)際需要。開關(guān)控制電路:開關(guān)控制電路采用與非門搭成的基本RS觸發(fā)器。電觸發(fā)器屬于低電平觸發(fā)的觸發(fā)器,有直接置位復(fù)位的功能。它的一路輸出飛作為單穩(wěn)態(tài)觸發(fā)器的輸入,另一路輸出Q作為與非門的輸入控制信號。當(dāng)輸出Q為高電平時多諧振蕩器產(chǎn)生的脈沖信號通過與非門送到計(jì)數(shù)器,當(dāng)輸出Q為低電平時脈沖信號被屏蔽,與非門只輸出高電平,計(jì)數(shù)器暫停?;綬S觸發(fā)器在電子秒表中的職能是啟動和停止秒表工作。計(jì)數(shù)器:設(shè)計(jì)思路與方案1相同,請參見方案1。譯碼顯示:設(shè)計(jì)思路與方案1相同,請參見方案1。三.選擇器件Zu1:

8、序號芯片型號功能器件數(shù)174LS160可頂置BC*步清零十進(jìn)制加法計(jì)數(shù)器3片274LS04六反相器1片374LS74雙D觸發(fā)器2片4CB555定時器(用于構(gòu)成多諧振蕩器)1片574LS02兩輸入端4或非門1片674LS08兩輸入端4與門1片序號芯片型號功能器件數(shù)174LS160可頂置BCD步清零十進(jìn)制加法計(jì)數(shù)器3片274LS041六反相器1片374LS32兩輸入4或門2片-5-4CB555定時器(用于構(gòu)成多諧振蕩器和單穩(wěn)態(tài)觸發(fā)器電路)2片574LS00兩輸入端4與非門1片674LS08兩輸入端4與門1片各器件的邏輯框圖、邏輯符號、邏輯功能表、內(nèi)部原理圖及邏輯功能分別如下:(1)74LS160(

9、可預(yù)置BCD#步清零十進(jìn)制加法計(jì)數(shù)器)邏輯框圖:邏輯符號:clr(CLKAC161514IIENP邏輯功能表:VccRCOCLE<LOAD0OENIESP期CLK74LS160QBAB冀CQDUCPRdLDEPET工作狀態(tài)X0XXX置0(異步)10XX頂置數(shù)(同步)X1101保持(包括C)X11X0保持(C=0)_FL1111計(jì)數(shù)GND109ENTLOAD邏輯功能描述如下:由邏輯圖與功能表知,在CT74LS160+LD為預(yù)置數(shù)控制端,D0-D3為數(shù)據(jù)輸入端,C為進(jìn)位輸出端,Rd為異步置零端,Q0-Q3位數(shù)據(jù)輸出端,EP和ET為工作狀態(tài)控制端。當(dāng)Rd=0時所有觸發(fā)器將同時被置零,而且置零操

10、作不受其他輸入端狀態(tài)的影響。當(dāng)Rd=1、LD=0時,電路工作在預(yù)置數(shù)狀態(tài)。這時門G16-G19的輸出始終是1,所以FF0-FF1輸入端J、K的狀態(tài)由D0-D3的狀態(tài)決定。當(dāng)Rd=LD=1而EP=0ET=1時,由于這時門G16-G19的輸出土勻?yàn)?,亦即FF0-FF3土勻處在J=K=0的狀態(tài),所以CP信號到達(dá)時它們保持原來的狀態(tài)不變。同時C的狀態(tài)也得到保持。如果ET=0則EP不論為何狀態(tài),計(jì)數(shù)器的狀態(tài)也保持不變,但這時進(jìn)位輸出C等于0。當(dāng)Rd=LD=EP=ET=1時,電路工作在計(jì)數(shù)狀態(tài)。從電路的0000狀態(tài)開始連續(xù)輸入10個計(jì)數(shù)脈沖時,電路將從1111的狀態(tài)返回0000的狀態(tài),C端從高電平跳變至

11、低電平。利用C端輸出的高電平或下降沿作為進(jìn)位輸出信號。內(nèi)部原理圖:(2)74LS74(雙D觸發(fā)器):邏輯框圖:邏輯符號:邏輯功能表:口|向si海如2CP通WQ24JXLM輸入輸出SdRdCPD八n+1QQn101xX1010XX0100XXjj11T11011T00111JXQnQniFnLD1CP15dIQ5GNDq司可可可可了邏輯功能描述如下:當(dāng)直接置數(shù)端為有效低電平,直接清零端為無效高電平時輸出Q為高電平當(dāng)直接置數(shù)端為無效高電平,直接清零端為有效低電平時輸出Q為低電平當(dāng)直接置數(shù)端和直接清零端都為有效低電平時,輸出不確定。當(dāng)直接置數(shù)端和直接清零端都為無效高電平時在脈沖上升沿到來時,輸出Q等

12、于輸入D。內(nèi)部原理圖:Vs癡記2口ZCF云20左(3)CB555(定時器):邏輯框圖:邏輯符號:邏輯功能表:VCCRdGND90RdCO閾值輸入(Vil)觸發(fā)輸入(V12)復(fù)位(9輸出。)010不變放電管T導(dǎo)通截止導(dǎo)通不變邏輯功能描述如下:555定時器的主要功能取決于比較器,比較器的輸出控制RS觸發(fā)器和放電管T的狀態(tài)。圖中R為復(fù)位輸入端,當(dāng)舟為低電平時,不管其他輸入端的狀態(tài)如何,輸出Vo為低電平。因此在正常工作時,應(yīng)將其接高電平。由圖可知,當(dāng)5腳懸空時,比較器G和G比較電壓分別為2/3VC濟(jì)口1/3VCc當(dāng)Vii>2/3Mx,Vi2>1/3Mx時,比較器C輸出低電平,比較器C2輸出

13、高電平,基本RS觸發(fā)器被置0,放電三極管T導(dǎo)通,輸出端Vo為低電平。當(dāng)Vii<2/3Vcc,Vi2<1/3VCc時,比較器G輸出高電平,比較器G輸出低電平,基本RS觸發(fā)器被置1,放電三極管T截止,輸出端Vo為高電平。當(dāng)Vi1<2/3VCc,Vi2>1/3VCc時,基本RS觸發(fā)器R=1、S=1,觸發(fā)器狀態(tài)不變,電路亦保持原狀態(tài)不變。綜合上述分析,可得555定時器功能表如表10.11.1所示。如果在電壓控制端(5腳)施加一個外加電壓(其值在0-Vx之間),比較器的參考電壓將發(fā)生變化,電路相應(yīng)的閾值、觸發(fā)電平也將隨之變化,進(jìn)而影響電路的工作狀態(tài)。內(nèi)部原理圖:邏輯符號:1A6A

14、1Y6Y2A5A74LS04Z1Ji3A4A3Y-4Y邏輯功能表:輸入輸出LHHL邏輯功能描述如下:當(dāng)輸入端為低電平當(dāng)輸入端為低電平0時,1時,輸出端為高電平輸出端為高電平1;0;即輸出端的電平與輸入端的電平總是相反的內(nèi)部原理圖:01A1Y2A(5)74LS02(兩卒U入4或非門):邏輯框圖:邏輯符號:1YVCC1A4Y1B4B2Y4A2A3Y2B3BGND3AU1374LS02D(4)74LS04(六反相器):邏輯框圖:tnpui*OutputAByLLHLHLHLLHHL邏輯功能表:邏輯功能描述如下:其中A,B為輸入端,Y為輸出端。當(dāng)兩個輸入端A=0,B=0時,輸出Y=1;當(dāng)兩個輸入端A=

15、0,B=1時,輸出Y=0;當(dāng)兩個輸入端A=1,B=0時,輸出Y=0;當(dāng)兩個輸入端A=1,B=1時,輸出丫=0;即只要兩個輸入端中任一個為高電平1,輸出就為低電平0,只有當(dāng)兩個輸入端都為低電平0時,輸出才為高電平1。內(nèi)部原理圖:(6)74LS08(兩卒俞入4與門):邏輯框圖:邏輯符號:邏輯功能表:1A1B1Y2A2B2Y3A3B3Y4A4B4Y000000000000010010010010100100100100111111111111-10-其邏輯功能描述如下:當(dāng)兩個輸入端A=0,B=0時,輸出端Y為低電平,即丫=0;當(dāng)兩個輸入端A=0,B=1時,輸出端Y為低電平,即丫=0;當(dāng)兩個輸入端A=

16、1,B=0時,輸出端Y為低電平,即丫=0;當(dāng)兩個輸入端A=1,B=1時,輸出端Y為低電平,即丫=1;即只要兩個輸入端A、B的輸入電平有一個是低電平0,輸出端Y即為低電平0;只有A、B的輸入電平全為1,輸出端Y才為高電平1內(nèi)部原理圖:(7)74LS32(兩卒俞入4或門):邏輯框圖:邏輯符號:U131AVCC1B4B1Y4A2A4Y2B3B2Y3AGND3Y74LS32D邏輯功能表:Y=A+BInput*OulpiilA8YLLHHLHLHLHHH邏輯功能描述如下:其中A,B為輸入端,Y為輸出端。當(dāng)輸入端A=0,B=0時,輸出端為低電平,即Y=0;當(dāng)輸入端A=0,B=1時,輸出端為高電平,即Y=1

17、;當(dāng)輸入端A=1,B=0時,輸出端為高電平,即Y=1;當(dāng)輸入端A=1,B=1時,輸出端為高電平,即Y=1o即兩個輸入端A、B的輸入電平只要有一個是高電平1,輸出端Y就為高電平1;-11-只有A、B兩個輸入端的電平同時為0時,輸出端Y才為低電平0內(nèi)部原理圖:(8)74LS00(兩輸入端4與非門):邏輯框圖:邏輯符號:1AC1U14】Vcc18C2134BiyC334A2AC41124Y28C后10:302YC693AGND匚753¥邏輯功能表:INPUTSOUTPUTYAeHHLLXHXLH邏輯功能描述如下:其中A、B為輸入端,Y為輸出端。當(dāng)輸入端A=0,B=0時,輸出端Y為高電平,即

18、Y=1;當(dāng)輸入端A=0,B=1時,輸出端Y為高電平,即Y=1;當(dāng)輸入端A=1,B=0時,輸出端Y為高電平,即Y=1;當(dāng)輸入端A=1,B=1時,輸出端Y為低電平,即Y=0;即兩個輸入端A、B的輸入電平只要有一個是低電平0,輸出端Y就為高電平1;只有A、B兩個輸入端的電平同時為1時,輸出端Y才為低電平00內(nèi)部原理圖:-12-四.功能模塊Zutk1:方案1各模塊之間的連接關(guān)系:(1)多諧振蕩器電路VCC圖21本設(shè)計(jì)采用555定時器構(gòu)成多諧振蕩器電路。根據(jù)設(shè)計(jì)要求將秒表精度定為_VtT-R2cIn-Vt.0-Vt0-Vt_0.1s,因此需要多諧振蕩器產(chǎn)生周期為0.1s的脈沖。根據(jù)脈沖周期計(jì)算公式:八V

19、CC=(R2R1)ClnccCC要求電源電壓取5V,輸出脈沖占空比為2/3,可得電阻R1,R2為4.8KQ。多諧振蕩器每隔0.1s發(fā)出一個脈沖使計(jì)數(shù)器發(fā)生一次狀態(tài)轉(zhuǎn)換,根據(jù)狀態(tài)轉(zhuǎn)換的次數(shù)就可以達(dá)到計(jì)時的目的。-13-軟件仿真結(jié)果:ChlWMlA11DDms/Div-Sal.|5?DivChanelBTrtflgtrSn|t?JDivEdflt匠工_11_(2)單脈沖發(fā)生器及節(jié)拍脈沖發(fā)生器電路VCCU12VCCVCC1Y1A1B2Y2A2BVCC4Y4B4A3Y3BU41AVCC-GND3A5VVCCJ'R1500?Key=A_2_-3_-40L7-0*-74LS02D13U11CLRV

20、CC1D>1CLK1PR1Q1QGND2CL死2D-2CLK2pR902Q2Q1111Ko14U21CLRVC1D>1CLK1PR1Q1QGND2CL2D2CL2P21312111074LS74D1274LS74D一,1Y一,2A-2Y一3A一3Y6A6Y5A5Y4AGND4Y74LS04D圖22上圖中開關(guān)J1即為單脈沖發(fā)生器,開關(guān)斷開時3個D觸發(fā)器的CLK時鐘端都處在高電平,當(dāng)J1閉合時時鐘端被置為低電平,開關(guān)J1的一合一開便產(chǎn)生了一個單脈沖使D觸發(fā)器的輸入傳到輸出一次,依次來才5制由D觸發(fā)器構(gòu)成的3位環(huán)形計(jì)數(shù)器輸出狀態(tài)的變化,從而達(dá)到控制目的。-14-c圖23上圖為4位環(huán)形計(jì)數(shù)

21、器,在本設(shè)計(jì)中節(jié)拍脈沖發(fā)生器由3位環(huán)形計(jì)數(shù)器構(gòu)成即可。按上圖的接法接成的環(huán)形計(jì)數(shù)器具有自啟動功能。具在有效循環(huán)的每個狀態(tài)只包含一個1,可以利用這個特性對計(jì)數(shù)器實(shí)現(xiàn)控制。圖22中U1的1D觸發(fā)器輸出1Q通過一個反相器與各計(jì)數(shù)器的異步清零端相連,當(dāng)1Q輸出高電平時,經(jīng)反相器變?yōu)榈碗娖接|發(fā)計(jì)數(shù)器的異步清零端,從而實(shí)現(xiàn)了秒表的清零控制。圖22中U1的2D觸發(fā)器輸出2Q和多諧振蕩器的輸出分別作為一個二輸入與門的兩個輸入。當(dāng)2Q輸出高電平時,時鐘脈沖信號能通過與門送到計(jì)數(shù)器,從而是計(jì)數(shù)器隨脈沖而轉(zhuǎn)換狀態(tài),實(shí)現(xiàn)計(jì)時功能。當(dāng)2Q輸出為低電平時,時鐘脈沖信號不能通過與門送到計(jì)數(shù)器,與門的輸出一直為低電平,計(jì)數(shù)器

22、暫停,從而實(shí)現(xiàn)停止功能。(3)計(jì)數(shù)器及譯碼模塊QQ8BOQQ8BO9U11DCD_HEXU674LS160DABCDRGKLCRICDAOLTNEPNEABCDRGKLCRICDAOLTNEPNEU774LS1RGKLCRICdaolOCRTNEDQPNECQBQDAQcBccvDAo6U874LS160D283卜忖617191勾8vCc圖24計(jì)數(shù)部分采用十進(jìn)制計(jì)數(shù)器74LS16Q74LS160有低電平觸發(fā)的異步清零端和同步置數(shù)端,以及控制狀態(tài)轉(zhuǎn)換的時鐘輸入端。在本設(shè)計(jì)方案中應(yīng)用到了異步清零端和時鐘輸入端。當(dāng)異步清零端為有效低電平時全部計(jì)數(shù)器立即置零,從而實(shí)現(xiàn)了秒表的清零功能。當(dāng)時鐘輸入端有時

23、鐘脈沖信號輸入時便可實(shí)現(xiàn)計(jì)數(shù)器狀態(tài)的循環(huán)轉(zhuǎn)換,從而實(shí)現(xiàn)計(jì)時功能。當(dāng)秒表處于計(jì)時功能時,U8芯片的時鐘輸入端送入周期為0.1s的脈沖信號,即-15-U8芯片的狀態(tài)每隔0.1s實(shí)現(xiàn)一次變換,因此U8芯片輸出作為秒表0,1s計(jì)數(shù)位的輸入與四輸入譯碼顯示器相連。當(dāng)U8芯片完成一次十狀態(tài)轉(zhuǎn)換后便會從進(jìn)位輸出端RCO俞出一個脈沖信號表示已計(jì)數(shù)十次。因此可將U8芯片的進(jìn)位輸出端RCOWU7芯片的時鐘輸入端相連,U8的進(jìn)位脈沖作為U7芯片的時鐘控制脈沖。經(jīng)分析可知最初周期為0.1s的時鐘信號經(jīng)過U8芯片分頻后,從其進(jìn)位輸出端輸出周期為1s的時鐘信號,這個時鐘信號控制U7芯片每1s轉(zhuǎn)換一次狀態(tài),因此可將U7芯片

24、作為秒表個位計(jì)數(shù)位的輸入與四輸入譯碼顯示器相連。同理將U6芯片做為秒表十位計(jì)數(shù)位的輸入與四輸入譯碼顯示器相連。這樣就可以實(shí)現(xiàn)精度為0.1s的電子秒表的設(shè)計(jì)。方案1的缺點(diǎn):此方案的缺點(diǎn)在于控制模塊部分。由于節(jié)拍脈沖發(fā)生器的輸出按固定的規(guī)律輪流輸出高電平,這就使得電子秒表只能按照清零,計(jì)數(shù),暫停這三個步驟依次進(jìn)行,可操作和實(shí)用性不高,因此方案1不予采納。萬不2:(1)多諧振蕩器電路模塊電路圖及設(shè)計(jì)思路與方案1相同,參見方案1.(2)單穩(wěn)態(tài)觸發(fā)器電路模塊VCC500QR8圖25此單穩(wěn)態(tài)觸發(fā)器的穩(wěn)態(tài)輸出為低電平,其輸入與開關(guān)電路相連。當(dāng)單穩(wěn)態(tài)觸發(fā)器的輸入由高電平跳變?yōu)榈碗娖綍r,輸出由穩(wěn)態(tài)變?yōu)闀悍€(wěn)態(tài),由

25、低電平跳變?yōu)楦唠娖?,?jīng)過短暫的暫穩(wěn)態(tài)持續(xù)時間后輸出自動變?yōu)榉€(wěn)態(tài)高電平。對于本設(shè)計(jì),要將單穩(wěn)態(tài)觸發(fā)器的輸出經(jīng)反相器后與計(jì)數(shù)器的CLR異步清零端相連。當(dāng)單穩(wěn)態(tài)觸發(fā)器處于穩(wěn)態(tài)時,其輸出低電平經(jīng)反相后變?yōu)楦唠娖街糜谟?jì)數(shù)器異步清零端,使異步清零端無效;當(dāng)單穩(wěn)態(tài)觸發(fā)器輸入由高電平跳變?yōu)榈碗娖?,具輸出出現(xiàn)短暫的高電平,經(jīng)反相后變?yōu)榈碗娖?,使?jì)數(shù)器的異步清零端工作,計(jì)數(shù)器被置零。經(jīng)過短暫的暫穩(wěn)態(tài)維持時間后計(jì)數(shù)器的異步清零端重新自動置為無效高電平。因此,單穩(wěn)態(tài)觸發(fā)器可用于控制電子秒表的清零控制。-16-暫穩(wěn)態(tài)持續(xù)時間由下面公式計(jì)算:軟件仿真結(jié)果:tw=RClnVCC-0-CC二RCln3ChanneLBScal

26、e15VXDIvTimabaaaScale|5itis/DIvTriooarEdgeMJH813tl|ChannelAScale15加n(3)開關(guān)控制電路模塊原控制電路:S1Key=SpaceR53kQU3A74LS00D改進(jìn)后控制電路:VCCVCC5Vr-kR73kQS2TKey=SpaceU4A74LS00D二一二二-17-原控制電路:如圖26,采用與非門構(gòu)成的基本RS觸發(fā)器。它的一路輸出(U3A的輸出)作為單穩(wěn)態(tài)觸發(fā)器的輸入,另一路輸出(U4A的輸出)和多諧振蕩器的輸出作為與非門的兩個輸入,與非門的輸出連到計(jì)數(shù)器的時鐘信號輸入端。當(dāng)開關(guān)S2按下后,U3A輸出由高電平變?yōu)榈碗娖?,控制單穩(wěn)態(tài)

27、觸發(fā)器輸出變?yōu)楦唠娖綍悍€(wěn)態(tài),經(jīng)反相后使計(jì)數(shù)器清零端置為有效電平,計(jì)數(shù)器異步清零。經(jīng)過短暫的暫穩(wěn)態(tài)時間后計(jì)數(shù)器清零端自動置為無效高電平。此時U4A輸出為高電平,使得脈沖信號通過與非門傳到計(jì)數(shù)器,是計(jì)數(shù)器工作。因此,按下開關(guān)S2使計(jì)數(shù)器立即清零而后自動進(jìn)入正常計(jì)數(shù)狀態(tài)。當(dāng)開關(guān)S1按下后,U4A輸出變?yōu)榈碗娖?,從而屏蔽了多諧振蕩器的脈沖信號,使計(jì)數(shù)器暫停工作,實(shí)現(xiàn)電子秒表的暫停功能。原控制電路缺點(diǎn):由于基本RS觸發(fā)器的特性使得電子秒表暫停后不能接著原來的計(jì)數(shù)繼續(xù)計(jì)時,只能清零后重新計(jì)時。因此設(shè)計(jì)了改進(jìn)控制電路解決這個問題。改進(jìn)控制電路:如圖27,將U3A的輸出端與U4A的下輸入端作為與門的輸入,與門

28、的輸出作為單穩(wěn)態(tài)觸發(fā)器的輸入。將U4A的輸出與U3A的上輸入端作為或門的輸入,或門的輸出再與多諧振蕩器的輸出作為與非門的兩個輸入。開關(guān)S1采用普通一開一合的開關(guān),而開關(guān)S2采用按鍵開關(guān),按下后立即自動彈起。當(dāng)S1按下后,U4A輸出為低電平,U3A的2輸入端也為低電平,經(jīng)過或門后輸出仍為低電平,屏蔽了多諧振蕩器的脈沖信號,使計(jì)數(shù)器暫停。當(dāng)S1開啟后,雖然U4A輸出仍然為低電平,但U3A的2輸入端為高電平,經(jīng)過或門輸出為高電平,脈沖信號通過與非門作用與計(jì)數(shù)器,計(jì)數(shù)器繼續(xù)計(jì)時,從而實(shí)現(xiàn)了電子秒表暫停后在原計(jì)數(shù)值基礎(chǔ)上繼續(xù)計(jì)數(shù)的功能。當(dāng)S2開關(guān)按下后使U3A輸出為低電平,U4A的下輸入為低電平,經(jīng)過與

29、門后使原輸出高電平變?yōu)榈碗娖?,從而使單穩(wěn)態(tài)觸發(fā)器輸出暫穩(wěn)態(tài),控制計(jì)數(shù)器置零。由于S2為按鍵開關(guān),按下后迅速彈起,則U4A的下輸入又很快置為高電平,與門輸出仍為低電平。此時若想再次將計(jì)數(shù)器置零就要先使與門輸出(單穩(wěn)態(tài)輸入)置為高電平,而若想實(shí)現(xiàn)與門輸出高電平就要使與門的另一個輸入也為高電平,即U3A輸出高電平,這就要求在一次清零后必須使秒表暫停一次,再按清零按鍵計(jì)數(shù)器才能正常清零。不過這樣的設(shè)計(jì)并不影響電子秒表的正常使用,因?yàn)槊氡硪话闱闆r下都是在暫停計(jì)時后才清零,不在計(jì)時過程中清零,所以方案2滿足設(shè)計(jì)要求。將所設(shè)計(jì)模塊利用Multism2001軟件進(jìn)行仿真,仿真結(jié)果正確,然后在數(shù)字實(shí)驗(yàn)箱上驗(yàn)證各

30、個模塊,結(jié)果正確。另外,應(yīng)該注意的是,因?yàn)樵O(shè)計(jì)電路連線非常復(fù)雜,所以在實(shí)驗(yàn)箱上進(jìn)行驗(yàn)證時,應(yīng)按模塊連接電路,先連接單個模塊并驗(yàn)證其是否工作正常再將各模塊連接起來,保證驗(yàn)證結(jié)果的正確性。-18-五.總體設(shè)計(jì)電路圖方案2:方案2實(shí)際電路圖:S1VCC-I5VU9DCDHEX36141211131U674LS160D3I4H6I7rfr129U3AKey=AR574LS00DI?CCVCCS2JL5V-7I?U4A74LS00D20DORLCCGU10DCDHEX6-7PTNNABCDEU261A1B1Y2A2B2YVCCGND4B4A4Y3B3A3Y74LS08DC621250pFR8500|?U251A'1B1Y2A2B2YGNDVCC4B4A4Y3B3A)3YU774U11DCDHEX10146151先160DCN0DORLC3456R151k|

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論