數(shù)字電路邏輯設(shè)計(jì)課件:CHAP1_第1頁
數(shù)字電路邏輯設(shè)計(jì)課件:CHAP1_第2頁
數(shù)字電路邏輯設(shè)計(jì)課件:CHAP1_第3頁
數(shù)字電路邏輯設(shè)計(jì)課件:CHAP1_第4頁
數(shù)字電路邏輯設(shè)計(jì)課件:CHAP1_第5頁
已閱讀5頁,還剩19頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、21世紀(jì)是信息數(shù)字化的時(shí)代,世紀(jì)是信息數(shù)字化的時(shí)代,“數(shù)字邏輯設(shè)計(jì)數(shù)字邏輯設(shè)計(jì)”是數(shù)字技是數(shù)字技術(shù)的基礎(chǔ),是電子信息類各專業(yè)的主要技術(shù)基礎(chǔ)課程之一。術(shù)的基礎(chǔ),是電子信息類各專業(yè)的主要技術(shù)基礎(chǔ)課程之一。脈沖與數(shù)字電子技術(shù)的應(yīng)用非常廣泛。脈沖與數(shù)字電子技術(shù)的應(yīng)用非常廣泛。電視技術(shù)電視技術(shù)雷達(dá)技術(shù)雷達(dá)技術(shù)通信技術(shù)通信技術(shù)計(jì)算機(jī)、自動(dòng)控制計(jì)算機(jī)、自動(dòng)控制航空航天航空航天1.1.1數(shù)字信號(hào)數(shù)字信號(hào)1.1.2數(shù)制及其轉(zhuǎn)換數(shù)制及其轉(zhuǎn)換1.1.3二十進(jìn)制代碼(二十進(jìn)制代碼(BCD代碼)代碼)1.1.4算術(shù)運(yùn)算與邏輯運(yùn)算算術(shù)運(yùn)算與邏輯運(yùn)算1.1.5數(shù)字電路數(shù)字電路Introduction1.1.1數(shù)字信號(hào)數(shù)字信

2、號(hào)概念概念在時(shí)間上和數(shù)量上都不連續(xù),變化總是發(fā)生在一系列離散在時(shí)間上和數(shù)量上都不連續(xù),變化總是發(fā)生在一系列離散的瞬間,數(shù)量大小和每次的增減變化都是某一個(gè)最小單位的整的瞬間,數(shù)量大小和每次的增減變化都是某一個(gè)最小單位的整數(shù)倍,這一類物理量叫做數(shù)倍,這一類物理量叫做數(shù)字量數(shù)字量。表示數(shù)字量的信號(hào)稱為。表示數(shù)字量的信號(hào)稱為數(shù)字?jǐn)?shù)字信號(hào)信號(hào)。工作在數(shù)字信號(hào)下的電路叫做。工作在數(shù)字信號(hào)下的電路叫做數(shù)字電路數(shù)字電路。數(shù)字電路中采用只有數(shù)字電路中采用只有0、1兩種數(shù)值組成的數(shù)字信號(hào)。兩種數(shù)值組成的數(shù)字信號(hào)。?回顧與思考:回顧與思考:數(shù)字信號(hào)與模擬信號(hào)有何區(qū)別?數(shù)字信號(hào)與模擬信號(hào)有何區(qū)別?模擬信號(hào)在時(shí)間上和數(shù)

3、值上都具有連續(xù)變化的特點(diǎn)。在某模擬信號(hào)在時(shí)間上和數(shù)值上都具有連續(xù)變化的特點(diǎn)。在某一瞬間的值可以是一個(gè)數(shù)值區(qū)間內(nèi)的任何值。一瞬間的值可以是一個(gè)數(shù)值區(qū)間內(nèi)的任何值。(b)(c)tt為一拍為一拍圖1-1 數(shù)字信號(hào)(a)1110110001表示方法表示方法(1) 用用0、1數(shù)值表示數(shù)值表示(2) 用用低低和和高高電位表示電位表示(3) 用脈沖信號(hào)的用脈沖信號(hào)的無無和和有有表示表示高電位高電位低電位低電位脈沖脈沖演演 示示演演 示示式中,式中,ai為十進(jìn)制數(shù)的任意一個(gè)數(shù)碼;為十進(jìn)制數(shù)的任意一個(gè)數(shù)碼;n、m為正整數(shù),為正整數(shù),n表示表示整數(shù)部分?jǐn)?shù)位,整數(shù)部分?jǐn)?shù)位,m表示小數(shù)部分?jǐn)?shù)位。表示小數(shù)部分?jǐn)?shù)位。1.

4、1.2數(shù)制及其轉(zhuǎn)換數(shù)制及其轉(zhuǎn)換十進(jìn)制數(shù)采用十進(jìn)制數(shù)采用0 0、1 1、9 9十個(gè)不同的數(shù)碼;在計(jì)數(shù)時(shí),十個(gè)不同的數(shù)碼;在計(jì)數(shù)時(shí),采用采用“逢十進(jìn)一逢十進(jìn)一”及及“借一當(dāng)十借一當(dāng)十”。各個(gè)數(shù)碼處于十進(jìn)制數(shù)的。各個(gè)數(shù)碼處于十進(jìn)制數(shù)的不同數(shù)位時(shí),代表的數(shù)值是不同的,這些數(shù)值稱為不同數(shù)位時(shí),代表的數(shù)值是不同的,這些數(shù)值稱為位權(quán)位權(quán)。對于。對于任意一個(gè)十進(jìn)制數(shù)都可以按位權(quán)展開:任意一個(gè)十進(jìn)制數(shù)都可以按位權(quán)展開:十進(jìn)制數(shù)十進(jìn)制數(shù)mmnnnnmnnaaaaaaaaaaaaaaN 101010 10101010 .)(22110011221121012110 110nmiiia上述十進(jìn)制數(shù)按位權(quán)展開的方法,可

5、以推廣到任意進(jìn)制的上述十進(jìn)制數(shù)按位權(quán)展開的方法,可以推廣到任意進(jìn)制的計(jì)數(shù)制。對于一個(gè)基數(shù)為計(jì)數(shù)制。對于一個(gè)基數(shù)為R( (R2)2)的的R進(jìn)制計(jì)數(shù)制,共有進(jìn)制計(jì)數(shù)制,共有0 0、1 1、( (R-1)-1)個(gè)不同的數(shù)碼,則一個(gè)個(gè)不同的數(shù)碼,則一個(gè)R進(jìn)制的數(shù)按位權(quán)可展開進(jìn)制的數(shù)按位權(quán)可展開為:為:mmnnnnmnnRRaRaRaRaRaRaRaaaaaaaaN 221100112211210121 .)( 1nmiiiRa這種計(jì)數(shù)法叫做這種計(jì)數(shù)法叫做“R進(jìn)制進(jìn)制”計(jì)數(shù)法,計(jì)數(shù)法,R稱為計(jì)數(shù)制的稱為計(jì)數(shù)制的基數(shù)基數(shù)或或稱為計(jì)數(shù)的稱為計(jì)數(shù)的模模( (mod) )。在數(shù)。在數(shù)N的表示中,用下角標(biāo)或的表示

6、中,用下角標(biāo)或( (mod= =R) )來來標(biāo)明模。標(biāo)明模。二進(jìn)制數(shù)二進(jìn)制數(shù)二進(jìn)制數(shù)只有二進(jìn)制數(shù)只有0和和1兩個(gè)數(shù)碼,在計(jì)數(shù)時(shí)兩個(gè)數(shù)碼,在計(jì)數(shù)時(shí)“逢二進(jìn)一逢二進(jìn)一”及及“借一當(dāng)二借一當(dāng)二”。二進(jìn)制的基數(shù)是。二進(jìn)制的基數(shù)是2 2,每個(gè)數(shù)位和位權(quán)值為,每個(gè)數(shù)位和位權(quán)值為2 2的冪。的冪。二進(jìn)制數(shù)可以按位權(quán)展開為:二進(jìn)制數(shù)可以按位權(quán)展開為:mmnnnnmnnaaaaaaaaaaaaaaN 222 2222 .)(2211001122112101212 12nmiiia式中,式中,ai為為0或或1數(shù)碼;數(shù)碼;n、m為正整數(shù),為正整數(shù),2i為為i位的位權(quán)值。位的位權(quán)值。21012322120212021

7、21(1101.01) 例如:例如:八進(jìn)制和十六進(jìn)制八進(jìn)制和十六進(jìn)制八進(jìn)制數(shù)有八進(jìn)制數(shù)有07八個(gè)數(shù)碼,基數(shù)為八個(gè)數(shù)碼,基數(shù)為8,八進(jìn)制數(shù)表示為:,八進(jìn)制數(shù)表示為: 188)(nmiiiaN十六進(jìn)制數(shù)有十六進(jìn)制數(shù)有09、AF十六個(gè)數(shù)碼符號(hào),其中十六個(gè)數(shù)碼符號(hào),其中AF六六個(gè)符號(hào)依次表示個(gè)符號(hào)依次表示1015。 11616)(nmiiiaN1018848681(16.4) 例如:例如:1011616121661610(A6.C) 例如:例如:十進(jìn)制十進(jìn)制二進(jìn)制二進(jìn)制八進(jìn)制八進(jìn)制十六進(jìn)制十六進(jìn)制十進(jìn)制十進(jìn)制二進(jìn)制二進(jìn)制八進(jìn)制八進(jìn)制十六進(jìn)制十六進(jìn)制000012110014C111113110115D2

8、102214111016E3113315111117F4100441610000201051015517100012111611066181001022127111771910011231381000108201010024149100111932100000402010101012A10011001001446411101113B1000111110100017503E8表1-1 二、八、十、十六進(jìn)制的對照關(guān)系 不同進(jìn)制數(shù)的轉(zhuǎn)換不同進(jìn)制數(shù)的轉(zhuǎn)換(1) 將將R進(jìn)制數(shù)轉(zhuǎn)換成十進(jìn)制數(shù)進(jìn)制數(shù)轉(zhuǎn)換成十進(jìn)制數(shù)將將R R進(jìn)制數(shù)轉(zhuǎn)換為等值的十進(jìn)制數(shù),只要進(jìn)制數(shù)轉(zhuǎn)換為等值的十進(jìn)制數(shù),只要將將R進(jìn)制數(shù)按位權(quán)進(jìn)制

9、數(shù)按位權(quán)展開,再按十進(jìn)制運(yùn)算規(guī)則運(yùn)算展開,再按十進(jìn)制運(yùn)算規(guī)則運(yùn)算即可。即可。轉(zhuǎn)換成十進(jìn)制數(shù)。轉(zhuǎn)換成十進(jìn)制數(shù)。將二進(jìn)制數(shù)將二進(jìn)制數(shù)例例201111010 11 . 3210123422121 202021202121011.11010解解 10375260.1250.250020816 . 按位權(quán)展開按位權(quán)展開按十進(jìn)制運(yùn)算規(guī)則運(yùn)算按十進(jìn)制運(yùn)算規(guī)則運(yùn)算 4B.AF12 31 16轉(zhuǎn)轉(zhuǎn)換換成成十十進(jìn)進(jìn)制制數(shù)數(shù)。將將十十六六進(jìn)進(jìn)制制數(shù)數(shù)例例 1021012316703125.4783 0.0156250.6875516011254096 1641611 161516101621614B.AF12 解解

10、 504.137 21 8轉(zhuǎn)換成十進(jìn)制數(shù)。轉(zhuǎn)換成十進(jìn)制數(shù)。將八進(jìn)制數(shù)將八進(jìn)制數(shù)例例 1032101286328125.95 0078125. 00625. 074264 848085878381504.137 解解(2) 將十進(jìn)制數(shù)轉(zhuǎn)換成將十進(jìn)制數(shù)轉(zhuǎn)換成R進(jìn)制數(shù)進(jìn)制數(shù)將十進(jìn)制數(shù)的整數(shù)部分和小數(shù)部分分別進(jìn)行轉(zhuǎn)換,然后合將十進(jìn)制數(shù)的整數(shù)部分和小數(shù)部分分別進(jìn)行轉(zhuǎn)換,然后合并起來。并起來。a) 將給定的十進(jìn)制數(shù)除以將給定的十進(jìn)制數(shù)除以R,余數(shù)作為,余數(shù)作為R進(jìn)制數(shù)的最低位進(jìn)制數(shù)的最低位( (Least Significant Bit, LSB) )。b) 把前一步的商再除以把前一步的商再除以R,余數(shù)作

11、為次低位。,余數(shù)作為次低位。c) 重復(fù)重復(fù)b b步驟,記下余數(shù),直至最后商為步驟,記下余數(shù),直至最后商為0 0,最后的余數(shù)即,最后的余數(shù)即為為R進(jìn)制的最高位進(jìn)制的最高位( (Most Significant Bit, MSB) )。十進(jìn)制數(shù)十進(jìn)制數(shù)整數(shù)整數(shù)轉(zhuǎn)換成轉(zhuǎn)換成R進(jìn)制數(shù),采用進(jìn)制數(shù),采用逐次除以基數(shù)逐次除以基數(shù)R取余數(shù)取余數(shù)的方法,其步驟如下:的方法,其步驟如下: 53 41 10轉(zhuǎn)轉(zhuǎn)換換成成二二進(jìn)進(jìn)制制數(shù)數(shù)。將將十十進(jìn)進(jìn)制制數(shù)數(shù)例例 解由于二進(jìn)制數(shù)基數(shù)為解由于二進(jìn)制數(shù)基數(shù)為2,所以逐次除以,所以逐次除以2,取其余數(shù)(,取其余數(shù)(0或或1):):6 6 商商余數(shù)余數(shù)101011LSBMS

12、B所以所以 21011010153 53 51 10轉(zhuǎn)轉(zhuǎn)換換成成八八進(jìn)進(jìn)制制數(shù)數(shù)。將將十十進(jìn)進(jìn)制制數(shù)數(shù)例例 解由于八進(jìn)制數(shù)基數(shù)為解由于八進(jìn)制數(shù)基數(shù)為8,所以逐次除以,所以逐次除以8取其余數(shù):取其余數(shù):8 88 8商商余數(shù)余數(shù)所以所以 810 6553 十進(jìn)制數(shù)十進(jìn)制數(shù)純小數(shù)純小數(shù)轉(zhuǎn)換成轉(zhuǎn)換成R進(jìn)制數(shù),采用進(jìn)制數(shù),采用將小數(shù)部分逐次乘以將小數(shù)部分逐次乘以R,取乘積的整數(shù)部分作為取乘積的整數(shù)部分作為R進(jìn)制的各有關(guān)數(shù)位,乘積的小數(shù)部進(jìn)制的各有關(guān)數(shù)位,乘積的小數(shù)部分繼續(xù)乘以分繼續(xù)乘以R, ,直至最后乘積為直至最后乘積為0 0或達(dá)到一定的精度為止?;蜻_(dá)到一定的精度為止。 375. 0 61 10轉(zhuǎn)轉(zhuǎn)換換

13、成成二二進(jìn)進(jìn)制制數(shù)數(shù)。將將十十進(jìn)進(jìn)制制小小數(shù)數(shù)例例 解解0.3750.3752 2750750 0 0.2 2500500 1 1.2 2000000 1 1.b-1= = 0b-2= = 1b-3= = 1所以所以 210 011. 0375. 0 %1 . 039. 0 71 10 。到到精精度度達(dá)達(dá)轉(zhuǎn)轉(zhuǎn)換換成成二二進(jìn)進(jìn)制制數(shù)數(shù),要要求求將將十十進(jìn)進(jìn)制制小小數(shù)數(shù)例例 解由于精度要求達(dá)到解由于精度要求達(dá)到0.1%,需要精確到二進(jìn)制小數(shù),需要精確到二進(jìn)制小數(shù)10位,位,即即1/210=1/1024。0.392 = 0.78 b-1= 00.782 = 1.56 b-2= 10.562 = 1.

14、12 b-3= 10.122 = 0.24 b-4= 00.242 = 0.48 b-5= 00.482 = 0.96 b-6 = 00.962 = 1.92 b-7 = 10.922 = 1.84 b-8 = 10.842 = 1.68 b-9 = 10.682 = 1.36 b-10= 1所以所以 210 0110001111. 039. 0 %1 . 039. 0 81 10 。精精確確到到轉(zhuǎn)轉(zhuǎn)換換成成八八進(jìn)進(jìn)制制數(shù)數(shù),要要求求將將例例 解由于解由于 83 = 512,所以需精確到八進(jìn)制小數(shù)的,所以需精確到八進(jìn)制小數(shù)的4位,則位,則0.398 = 3.12 a-1= 30.128 = 0

15、.96 a-2= 00.968 = 7.68 a-3= 70.688 = 5.44 a-4= 5所以所以 (0.39)10=(0.3075)8綜合整數(shù)和純小數(shù)的轉(zhuǎn)換方法,是將整數(shù)部分和小數(shù)部分綜合整數(shù)和純小數(shù)的轉(zhuǎn)換方法,是將整數(shù)部分和小數(shù)部分分別進(jìn)行轉(zhuǎn)換,然后合并起來。例如分別進(jìn)行轉(zhuǎn)換,然后合并起來。例如(53.375)10轉(zhuǎn)換成二進(jìn)制數(shù),轉(zhuǎn)換成二進(jìn)制數(shù),按例按例1- -4和例和例1- -6的結(jié)果,得:的結(jié)果,得: 210 011.11010375.53 (3)基數(shù)基數(shù)R為為2k各各進(jìn)制之間的互相轉(zhuǎn)換進(jìn)制之間的互相轉(zhuǎn)換由于由于3 3位二進(jìn)制數(shù)構(gòu)成位二進(jìn)制數(shù)構(gòu)成1 1位八進(jìn)制數(shù),位八進(jìn)制數(shù),4

16、4位二進(jìn)制數(shù)構(gòu)成位二進(jìn)制數(shù)構(gòu)成1 1位位十六進(jìn)制數(shù),以二進(jìn)制數(shù)為橋梁,即可方便地完成基數(shù)十六進(jìn)制數(shù),以二進(jìn)制數(shù)為橋梁,即可方便地完成基數(shù)R為為2k各各進(jìn)制之間的互相轉(zhuǎn)換。進(jìn)制之間的互相轉(zhuǎn)換。 29D BE 91 16 轉(zhuǎn)轉(zhuǎn)換換成成八八進(jìn)進(jìn)制制數(shù)數(shù)。將將例例. 816 853216722D92EB16 276.123529D BE )1011 0110 0001 .1101 1110( )1101 1001 0010 .1110 1011(29D) (BE 即即:解解. 1.1.3二十進(jìn)制代碼(二十進(jìn)制代碼(BCD代碼)代碼)表示某一特定信息的數(shù)碼代號(hào)叫做表示某一特定信息的數(shù)碼代號(hào)叫做代碼代碼。

17、數(shù)字系統(tǒng)中常用。數(shù)字系統(tǒng)中常用與二進(jìn)制數(shù)碼相對應(yīng)的與二進(jìn)制數(shù)碼相對應(yīng)的0、1作為代碼的符號(hào),叫做作為代碼的符號(hào),叫做二進(jìn)制碼二進(jìn)制碼。以二進(jìn)制以二進(jìn)制碼碼表示一個(gè)十進(jìn)制表示一個(gè)十進(jìn)制數(shù)數(shù)的代碼,稱為的代碼,稱為二十進(jìn)制碼,二十進(jìn)制碼,即即BCD(Binary Code Decimal)碼碼。由于十進(jìn)制數(shù)共有由于十進(jìn)制數(shù)共有09十個(gè)數(shù)碼,因此需要十個(gè)數(shù)碼,因此需要4位二進(jìn)制代位二進(jìn)制代碼來表示碼來表示1位十進(jìn)制數(shù)。位十進(jìn)制數(shù)。二進(jìn)制代碼的二進(jìn)制代碼的位數(shù)位數(shù)n與需要編碼的數(shù)(或信息)的與需要編碼的數(shù)(或信息)的個(gè)數(shù)個(gè)數(shù)N之之間應(yīng)滿足以下關(guān)系:間應(yīng)滿足以下關(guān)系:2n-1N2nBCD碼十碼十進(jìn)制數(shù)碼

18、進(jìn)制數(shù)碼8421碼碼余余3碼碼2421碼碼5121碼碼6311碼碼單位間單位間距碼距碼余余3循環(huán)循環(huán)碼碼移存碼移存碼00000001100000000001100000010000110001010000010001001000010110001020010010100100010010100110111010030011011000110011011100100101100140100011101000111011001100100001150101100010111000100101111100011160110100111001100100001011101111170111101011

19、0111011010010011111110810001011111011101101110011101100910011100111111111100111010101000表1-3 常用BCD代碼 有權(quán)有權(quán)BCD碼碼即代碼中的每位二進(jìn)制數(shù)碼都有確定的位權(quán)值。如表即代碼中的每位二進(jìn)制數(shù)碼都有確定的位權(quán)值。如表1- -3中的中的8421碼、碼、2421碼、碼、5121碼、碼、631- -1碼等。碼等。對于有權(quán)對于有權(quán)BCD碼,可以根據(jù)位權(quán)展開求得所代表的十進(jìn)制碼,可以根據(jù)位權(quán)展開求得所代表的十進(jìn)制數(shù)。例如:數(shù)。例如: 10 BCD1-316 10 BCD2421 10 BCD8421 8)1(

20、1103161110171120412111017112141800111 無權(quán)無權(quán)BCD碼碼即代碼沒有確定的位權(quán)值,不能按照位權(quán)展開求解所代表即代碼沒有確定的位權(quán)值,不能按照位權(quán)展開求解所代表的十進(jìn)制數(shù)。如表的十進(jìn)制數(shù)。如表1- -3中的余碼、單位間距碼、余循環(huán)碼中的余碼、單位間距碼、余循環(huán)碼等。這些代碼都有其特點(diǎn),適用于不同的場合。等。這些代碼都有其特點(diǎn),適用于不同的場合。用用BCD代碼表示十進(jìn)制數(shù)代碼表示十進(jìn)制數(shù)對于一個(gè)多位的十進(jìn)制數(shù),需要有與十進(jìn)制位數(shù)相同的幾對于一個(gè)多位的十進(jìn)制數(shù),需要有與十進(jìn)制位數(shù)相同的幾組組BCD代碼來表示。例如:代碼來表示。例如: BCD2421 236810 BCD8421 536410

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論