MAX_PLUS2使用_第1頁
MAX_PLUS2使用_第2頁
MAX_PLUS2使用_第3頁
MAX_PLUS2使用_第4頁
MAX_PLUS2使用_第5頁
已閱讀5頁,還剩62頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、示例課件目錄示例課件目錄1、原理圖輸入設(shè)計方法示例、原理圖輸入設(shè)計方法示例1 1、原理圖輸入設(shè)計方法示例、原理圖輸入設(shè)計方法示例1.1(1.1(組合邏輯)組合邏輯)1 1位全加器設(shè)計位全加器設(shè)計1.2(1.2(時序邏輯)時序邏輯)2 2位十進制數(shù)字頻率計設(shè)計位十進制數(shù)字頻率計設(shè)計1.31.3參數(shù)可設(shè)置參數(shù)可設(shè)置LPMLPM兆功能塊設(shè)計兆功能塊設(shè)計1.41.4波形輸入設(shè)計方法波形輸入設(shè)計方法1.11實驗?zāi)康?熟悉利用MAX+plusII的原理圖輸入方法設(shè)計 簡單組合電路,掌握層次化設(shè)計的方法。1.12實驗原理 1位全加器可以用兩個半加器及一個或門連 接而成。先設(shè)計底層文件:半加器,再設(shè)計 頂層文

2、件全加器。返回目錄1.131.13基本設(shè)計步驟基本設(shè)計步驟 任何一項設(shè)計都是一項工程(Project),都必須首先為此工程建立一個放置與此工程相關(guān)的文件的文件夾,此文件夾將被EDA軟件默認(rèn)為工作庫(Work Library)。一般不同的設(shè)計項目最好放在相應(yīng)的文件夾中,注意,一個設(shè)計項目可以包含多個設(shè)計文件。假設(shè)本項設(shè)計的文件夾取名為MY_Project,路徑為D: MY_Project, MAX+plus II 軟件裝在D盤maxplus2文件夾下。注意:文件夾名不能用中文,且不可帶空格。步驟步驟1 1:為本項工程設(shè)計建立文件夾(1)(1)打開打開MAX+plusIIMAX+plusII, ,

3、選菜單選菜單“File”File”“New” “New” 。步驟步驟2:2:輸入設(shè)計項目和存盤輸入設(shè)計項目和存盤(2)(2)在彈出的在彈出的“New”New”對話框中選擇對話框中選擇“File Type”File Type”中為原理中為原理圖編輯輸入項圖編輯輸入項“Graphic Editor file”Graphic Editor file”,按,按“OK”O(jiān)K”后將打后將打開原理圖編輯窗。開原理圖編輯窗。步驟步驟2:2:輸入設(shè)計項目和存輸入設(shè)計項目和存盤盤選擇該項可建立一個圖形輸入文件文件格式使用默認(rèn)格式:gdf可編輯用戶生成的符號文件建立文本輸入文件,如VHDL,Verilog HDL,

4、mif文件可建立波形文件“New”“New”對話框說對話框說明明步驟步驟2:2:輸入設(shè)計項目和存盤輸入設(shè)計項目和存盤(3)在原理圖編輯窗中的任何一個位置上雙擊鼠標(biāo),將彈出輸入元件項“Enter Symbol”的對話框。步驟步驟2:2:輸入設(shè)計項目和存盤輸入設(shè)計項目和存盤圖形編輯區(qū)圖形編輯工具 “Enter Symbol” “Enter Symbol” 對話框說對話框說明明步驟步驟2:2:輸入設(shè)計項目和存盤輸入設(shè)計項目和存盤用戶工作庫,末存盤時為默認(rèn)文件夾,此處已存盤到自己建立的文件夾元件符號名輸入?yún)^(qū),可直接輸入所需元件的符號名庫選擇區(qū),軟件安裝在D盤maxplus2文件夾下,除了用戶工作庫外,

5、還有四種元件庫,包含了基本邏輯元件庫prim,如門、觸發(fā)器等;宏功能元件庫mf,如74系列等;參數(shù)可設(shè)置兆功能元件庫mega_lpm,如LPM_FIFO;邏輯元件與宏功能元件擴展庫edif等當(dāng)前選中的庫為用戶工作庫,末存盤時為默認(rèn)文件夾當(dāng)前選中庫的元件列表區(qū)步驟步驟2:2:輸入設(shè)計項目和存盤輸入設(shè)計項目和存盤(4)(4)調(diào)入元件調(diào)入元件and2and2、notnot、xnorxnor、inputinput和和outputoutput。方法二:方法二:用鍵盤直接輸入所需元件名,再單擊“OK”按鈕即可將元件調(diào)入原理圖編輯窗中。方法一方法一:用鼠標(biāo)雙擊元件庫“Symbol Libraries”中d:

6、maxplus2maxplus2max2libprim項。在“Symbol Files”窗口即可看到基本邏輯元件庫prim中的所有元件,雙擊需要的元件即可調(diào)入原理圖編輯窗中。步驟步驟2:2:輸入設(shè)計項目輸入設(shè)計項目和存盤和存盤(5)排列好調(diào)入的元件,連接好原理圖。連線方法:連線方法:把鼠標(biāo)放在A處變成十字形,按處左鍵保持十字形移至B處松開即完成連接。A AB B步驟步驟2:2:輸入設(shè)計項目和存盤輸入設(shè)計項目和存盤(6)分別在input和output的PIN_NAME上雙擊使其變黑色,再用鍵盤分別輸入各引腳名:a、b、co、so。半加器表達式:進位:co=a and b和: so=a xnor

7、(not b)注意:半加器用原理圖的實現(xiàn)方法很多,此處用同或的方法來實現(xiàn)。有興趣的同學(xué)可以用其它的方法來實現(xiàn)。步驟步驟2:2:輸入設(shè)計項目和存盤輸入設(shè)計項目和存盤(7)選擇菜單“File”“Save As”,選擇剛才為自己的工程建立的目錄D:MY_Project,將已設(shè)計好的圖文件取名為:h_adder(注意后綴是.gdf),并存盤在此目錄內(nèi)。1 1、選擇目錄、選擇目錄2 2、選擇、選擇gdfgdf后綴后綴3 3、輸入文件名、輸入文件名步驟步驟3:3:將設(shè)計項目設(shè)置成工程文件將設(shè)計項目設(shè)置成工程文件為了使MAX+ plusII能對輸入的設(shè)計項目按設(shè)計者的要求進行各項處理,必須將設(shè)計文件設(shè)置成當(dāng)

8、前Project。末設(shè)置時末設(shè)置時步驟步驟3:3:將設(shè)計項目設(shè)置成工程文件將設(shè)計項目設(shè)置成工程文件設(shè)置后設(shè)置后如果設(shè)計項目由多個設(shè)計文件組成,則應(yīng)該將它們的主文件,即頂層文件設(shè)置成Project。步驟步驟4:4:選擇目標(biāo)器件并編譯選擇目標(biāo)器件并編譯(1)選擇“Assign”菜單中“Device”項,彈出Device對話框。步驟步驟4:4:選擇目標(biāo)器件并編譯選擇目標(biāo)器件并編譯(2)在Device對話框中選擇ACEX1K系列EP1K30QC208-2目標(biāo)芯片,點擊“OK”。步驟步驟4:4:選擇目標(biāo)器件并編譯選擇目標(biāo)器件并編譯(3)啟動編譯器。步驟步驟4:4:選擇目標(biāo)器件并編譯選擇目標(biāo)器件并編譯(4

9、)單擊“Start”開始編譯,如果有錯,排除錯誤后再次編譯。步驟步驟4:4:選擇目標(biāo)器件并編譯選擇目標(biāo)器件并編譯(5)編譯完成。步驟步驟5:5:時序仿真時序仿真(1)建立波形文件。選菜單“File”“New” ,在彈出的“New”對話框中選擇“File Type”中為波形編輯輸入項“Waveform Editor file”,按“OK”后將打開波形編輯窗。選中波形建立文件選中波形建立文件,后綴為,后綴為scfscf步驟步驟5:5:時序仿真時序仿真(2)輸入信號節(jié)點。在波形編輯窗選擇菜單“Node”,在下拉菜單中選擇輸入信號節(jié)點項“Enter Nodes from SNF”。步驟步驟5:5:時序

10、仿真時序仿真在彈出的對話框中單擊“List”按鈕,這時左列表框?qū)⒘谐鲈撛O(shè)計所有信號節(jié)點。設(shè)計者有時只需要觀察其中部分信號的波形,可利用中間的“=”鍵將需要觀察的信號選到右邊中,然后單擊“OK”按鈕。我們此處全選。步驟步驟5:5:時序仿真時序仿真(3)設(shè)置波形參量。在“Option”菜單中消去網(wǎng)格對齊項“Snap to Grid”的對勾,以便能夠任意設(shè)置輸入電平位置,或設(shè)置輸入時鐘信號的周期。步驟步驟5:5:時序仿真時序仿真(4)設(shè)置仿真時間。選擇“File”End Time”。步驟步驟5:5:時序仿真時序仿真在在End TimeEnd Time對話框中選擇適當(dāng)?shù)姆抡鏁r間域,如可選對話框中選擇適

11、當(dāng)?shù)姆抡鏁r間域,如可選34uS34uS,以便有足夠長的觀察時間,然后點擊以便有足夠長的觀察時間,然后點擊“OK”O(jiān)K”。步驟步驟5:5:時序仿真時序仿真(5)加上輸入信號。波形時間顯示為 了 設(shè) 置 此段 波 形 為 高電 平 , 用 鼠標(biāo) 拖 黑 , 再按左側(cè)的1步驟步驟5:5:時序仿真時序仿真(6)波形文件存盤。選擇菜單“File”“Save As”,按“ O K ” 按 鈕 。 保 存 窗 口 中 的 波 形 文 件 名 是 默 認(rèn) 的 (h_adder.scf),所以直接存盤即可。步驟步驟5:5:時序仿真時序仿真(7)運行仿真器。步驟步驟5:5:時序仿真時序仿真步驟步驟5:5:時序仿真

12、時序仿真單擊單擊SimulatorSimulator對話框中的對話框中的“Start”Start”按鈕按鈕,仿真提示無錯。,仿真提示無錯。步驟步驟5:5:時序仿真時序仿真(8)觀察半加器的仿真波形。結(jié)果正確,但有延遲步驟步驟5:5:時序仿真時序仿真(9)精確測量半加器輸入與輸出波形的延遲量。步驟步驟5:5:時序仿真時序仿真步驟步驟5:5:時序仿真時序仿真單擊單擊“Start”Start”按鈕,延遲分析完成。按鈕,延遲分析完成。注意:這個延遲量是針對ACEX1K系列EP1K30QC208-2器件的步驟步驟6:6:建立元件建立元件(10)包裝元件入庫。步驟步驟6:6:建立元件建立元件打開打開“En

13、ter Symbol”菜單菜單可以看到我們可以看到我們的包裝元件,的包裝元件,不妨新建一個不妨新建一個pdf文件調(diào)出來文件調(diào)出來觀察一下。觀察一下。步驟步驟7:7:引腳鎖定引腳鎖定(1)調(diào)出引腳鎖定對話框。注意:管腳定義跟目標(biāo)器件及硬件相關(guān),這里以注意:管腳定義跟目標(biāo)器件及硬件相關(guān),這里以ZYE1502EZYE1502E的的EDA-EEDA-E實驗箱系統(tǒng)進行實測。實驗箱系統(tǒng)進行實測。步驟步驟7:7:引腳鎖定引腳鎖定(2)定義引腳特別注意:引腳重新定義后必須再通過編譯器特別注意:引腳重新定義后必須再通過編譯器“Compile”Compile”對文件對文件重新進行編譯,以便將引腳信息編入下載文件中

14、。重新進行編譯,以便將引腳信息編入下載文件中。目標(biāo)芯片為目標(biāo)芯片為ACEX1KACEX1K系列的系列的EP1K30QC208-2EP1K30QC208-2,芯片,芯片4545、4646腳對腳對應(yīng)硬件按鍵應(yīng)硬件按鍵1 1、2 2,1919、2424腳對應(yīng)硬件發(fā)光二極管腳對應(yīng)硬件發(fā)光二極管1 1、2 2。輸入半加器的端口名輸入半加器的端口名輸入目標(biāo)芯片對應(yīng)管輸入目標(biāo)芯片對應(yīng)管腳號腳號增加到列表框增加到列表框引腳鎖定列引腳鎖定列表框表框端口輸入輸出類型選擇步驟步驟8:8:編程下載編程下載(1)下載方式設(shè)定。步驟步驟8:8:編程下載編程下載步驟步驟8:8:編程下載編程下載步驟步驟8:8:編程下載編程下

15、載(2)下載。連接好硬件及下載連接線等。按“Configure”下載配置文件。成功后通過硬件進行邏輯驗證。步驟步驟9:9:設(shè)計頂層文件設(shè)計頂層文件(1)依照前面的步驟2,新建f_adder.gdf文件,調(diào)入半加器。步驟步驟9:9:設(shè)計頂層文件設(shè)計頂層文件(2)可以將前面的步驟看成是完成了一個底層元件的設(shè)計,并被包裝入庫。現(xiàn)在利用已設(shè)計好的半加器,完成頂層項目全加器的設(shè)計,詳細步驟可參考前面的設(shè)計流程。這里不再詳述。補充說明補充說明: :多層設(shè)計流程與單一層次設(shè)計完全一樣多層設(shè)計流程與單一層次設(shè)計完全一樣, ,此時低層次的此時低層次的設(shè)計項目只是高層次項目設(shè)計項目只是高層次項目( (頂層設(shè)計頂層

16、設(shè)計) )中的某個或某些元件中的某個或某些元件, ,而當(dāng)而當(dāng)前的頂層設(shè)計項目也可成為更高層設(shè)計中的一個元件。前的頂層設(shè)計項目也可成為更高層設(shè)計中的一個元件。步驟1:建立工作庫文件夾步驟2:輸入設(shè)計項目原理圖/VHDL代碼步驟3:存盤,注意原理圖/文本取名步驟4:將設(shè)計項目設(shè)置成Project步驟5:選擇目標(biāo)器件步驟6:啟動編譯步驟7:建立仿真波形文件步驟8:仿真測試和波形分析步驟9:引鎖定并編譯步驟10:編程下載/配置步驟11:硬件測試本示例匯集主要講述一個項目的設(shè)計原理與設(shè)計方法,從而本示例匯集主要講述一個項目的設(shè)計原理與設(shè)計方法,從而舉一反三,提高自己的設(shè)計能力,前面講述了設(shè)計流程,供舉一

17、反三,提高自己的設(shè)計能力,前面講述了設(shè)計流程,供初學(xué)者快速入門,要想知道更多軟件使用知識請參考初學(xué)者快速入門,要想知道更多軟件使用知識請參考MuxPlusIIMuxPlusII學(xué)習(xí)課件,后面示例將以設(shè)計思路為主,本示例匯學(xué)習(xí)課件,后面示例將以設(shè)計思路為主,本示例匯集都在型號為集都在型號為ZYE1502EZYE1502E的的EDA-EEDA-E實驗箱上成功驗證過。實驗箱上成功驗證過。提出項目1.項目可行性2.項目功能3.設(shè)計思路4.具體建模項目設(shè)計1.設(shè)計輸入2.綜合3.適配4.仿真5.下載硬件測試(實踐工具EDA-E實驗箱)EDA-E實驗箱為項目可行性提供了廣闊的空間1.21設(shè)計總框圖計數(shù)輸出

18、測頻時序控制電路計數(shù)器電路顯示電路基準(zhǔn)時鐘待測時鐘計數(shù)控制顯示控制數(shù)碼顯示注意:這里僅設(shè)計二位十進制數(shù)字頻率計,有興趣的同學(xué)在學(xué)習(xí)時考慮一下任意位數(shù)的頻率計的設(shè)計,根據(jù)以上總框圖電路模塊其實是很容易擴展的。返回目錄(1)計數(shù)器模塊:設(shè)計有時鐘使能的兩位十進制計數(shù)器:en:計數(shù)使能;clk:待測頻率;clr:清零;cout:進位輸出;q3.0:BCD碼個位數(shù)輸出;q7.4:BCD碼十位數(shù)輸出;頻率計的核心元件之一是含有時鐘使能及進位擴展輸出的十進制計數(shù)器,這里用一個雙十進制計數(shù)74390和其它一些輔助元件來完成。A、74390是從宏功能元件庫mf調(diào)出,了解其詳細功能有兩個方法:方法一:方法一:雙

19、擊調(diào)出的74390元件,可以分析原理圖得知。方法二:方法二:如下圖所示操作,彈出“old-style Macrofunctions”幫助對話框,選擇Counters選項,找到74390即可查閱到詳細功能及邏輯真值表。通 過 幫 助 菜單 可 以 查 閱元 件 庫 元 件的功能。B、總線定義方法如右圖所示:q3.0等效于q3, q2, q1, q0。C、標(biāo)有相同標(biāo)號的線段可視作連接線段。(2)顯示模塊:設(shè)計有鎖存功能的兩位BCD碼譯碼器:lock:鎖存時鐘,clk:顯示時鐘鎖存器BCD碼譯碼位選7段碼輸出數(shù)碼管片選(3)測頻時序控制模塊:設(shè)計3個控制信號:計數(shù)器計數(shù)信號en、清零信號clr、鎖存

20、信號lock。完成頻率計自動測頻功能。(4)頻率計頂層文件設(shè)計:(5)功能概述:對照頻率計設(shè)計的頂層文件與總框圖,可以了解到我們成功的用原理圖的方式設(shè)計出了2位十進制頻率計,8Hz是基準(zhǔn)時鐘,通過ctrol模塊產(chǎn)生1Hz的en計數(shù)有效信號,及計數(shù)鎖存信號lock,計數(shù)清零信號clr。32768Hz是數(shù)碼管顯示掃描信號,可完成多位數(shù)碼顯示。fry是待測頻率,cout滿一百時的進位顯示,可通過發(fā)光二極管顯示。在二位頻率范圍內(nèi),輸入不同的待測頻率可以馬上在數(shù)碼管顯示出測量值。有興趣的同學(xué)不妨按總框圖的結(jié)構(gòu)試用原理圖設(shè)計出多位頻率計的設(shè)計。 由于計數(shù)結(jié)果不對,我們可以初步估計一下為計數(shù)出了問題,認(rèn)真的

21、分析一下計數(shù)器模塊的輸入時鐘。如上圖所示,由于en有延遲,導(dǎo)致在相與后多出一個下降沿(由于74390為下降沿觸發(fā)計數(shù),所以我們主要分析下降沿數(shù)目),我們是以8Hz的頻率量作為基準(zhǔn)頻率來產(chǎn)生en,當(dāng)然測量8Hz的頻率是準(zhǔn)確的,實際上相當(dāng)于en沒有延遲,測量當(dāng)然沒有誤差,而其它頻率量顯然會產(chǎn)生誤差,而這個誤差都是由于en的延遲產(chǎn)生的,我們?nèi)粢谟布先サ粽`差是相當(dāng)困難的,因為en延遲不可控制,所以我們必須在多出一個下降沿之前鎖存計數(shù)數(shù)據(jù)。把時序產(chǎn)生電路模塊的把時序產(chǎn)生電路模塊的en取反作為鎖存信號取反作為鎖存信號load,這樣剛好把多余的一個下降沿去掉。但是又出現(xiàn)了另外一個問題,即測量8Hz時出現(xiàn)

22、了問題,原來測量8Hz時是正確的,現(xiàn)在由于提前鎖存導(dǎo)制測量偏小,我們把待測頻率取反我們把待測頻率取反(如上圖所示的CLK取反分析可知剛好正確,另外一個解決方法不用8Hz作為基準(zhǔn)頻率,用1Hz作基準(zhǔn)頻率即不存在這樣的問題了),經(jīng)實驗是正確的,到現(xiàn)在找到了誤差原因和解決了誤差。 從上面分析可知,若不考慮到實際情況,一不小心就出現(xiàn)錯誤,因此除了會設(shè)計出程序出來,還會分析出錯原因并修正。關(guān)于多位頻率計的設(shè)計可參考EDA-E的實驗指導(dǎo)書實驗二十七。 我們設(shè)計完頻率計后要進行驗證,通過實驗驗證后發(fā)現(xiàn)所測頻率量除了8Hz外都存在1Hz的誤差,那么實際誤差在那兒產(chǎn)生的呢?1.31 LPMLPM:Library

23、 of Parameterized ModulesLibrary of Parameterized ModulesLPM中功能模塊的內(nèi)容豐富,MAX+plus II中提供的LPM中有多種實用的兆功能塊,可以在左圖所示看到,每一模塊的功能、 參數(shù)含義、使用方法、硬件描述語言模塊參數(shù)設(shè)置及調(diào)用方法都可 以 在 “ H e l p ” 菜 單 中 的“Megafunctions/LPM”命令中找到。以下將以基于LPM_ROM的4位乘法器設(shè)計(99乘法器)來說明LPM模塊的原理圖使用方法。返回目錄(1)調(diào)出LPM_ROM兆功能塊,將彈出“Edit Ports/Parameters”對話框(或雙擊右上角

24、的參數(shù)顯示文字,或如下圖所示右擊選擇可彈出對話框)。(2)設(shè)置LPM_ROM兆功能塊參數(shù):(3)設(shè)置結(jié)果如下:地址輸入 由 時鐘inclock的上升沿鎖入乘法表數(shù)據(jù)文件,指示所存路徑,路徑要一致。q輸出為非寄存方式q輸出為8位address地址輸入位為8位(4)4位乘法器原理圖:4位乘法器原理說明: ad3.0四位作為乘數(shù),乘法表的橫選地址; ad7.4四位作為被乘數(shù),乘法表的列選地址,clk為地址鎖存時鐘,q7.0為所選地址對應(yīng)的乘法結(jié)果,乘法表rom_data.mif根據(jù)以上原理建立,假如: 乘數(shù)ad3.0=4, 被乘數(shù)ad7.4=5,則在LPM_ROM中第五行,第六列所寄存的數(shù)據(jù)為20,即所得結(jié)果,通過q7.0輸出。(5)乘法表的建立:在“New”菜單選擇Text Editor File命令進入文本編輯器,建立mif文件。ROM數(shù)據(jù)寬度R O M 數(shù)據(jù) 深 度(8位地址 深 度為256)地址/數(shù)據(jù) 用 十六 進 制表示表 格 格式寫法99表示地址即乘數(shù)與被乘數(shù),81表示數(shù)據(jù)即乘法結(jié)果。注意:存盤路徑要

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論