EDA(電子設(shè)計自動化)教程_第1頁
EDA(電子設(shè)計自動化)教程_第2頁
EDA(電子設(shè)計自動化)教程_第3頁
EDA(電子設(shè)計自動化)教程_第4頁
EDA(電子設(shè)計自動化)教程_第5頁
已閱讀5頁,還剩114頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、計算機(jī)EDA設(shè)計教 程北航計算機(jī)學(xué)院 艾明晶1共2學(xué)時第7章Nios嵌入式處處理器設(shè)設(shè)計7.1Nios嵌入式處處理器簡簡介7.2Nios嵌入式處處理器軟軟、硬件件開發(fā)流流程7.3Nios嵌入式處處理器系系統(tǒng)的開開發(fā)7.4Nios嵌入式處處理器外外圍接口口7.5HAL系統(tǒng)統(tǒng)庫7.6設(shè)設(shè)計計實例電子子鐘27.1Nios嵌入式處處理器簡簡介一、第一一代Nios嵌入式處處理器二、第二二代Nios嵌入式處處理器三、可配配置的軟軟核嵌入入式處理理器的優(yōu)優(yōu)勢內(nèi)容概要要37.1Nios嵌入式處處理器簡簡介一、第一一代Nios嵌入式處處理器2000年,Altera發(fā)布了Nios處理器,這是第第一款可可用于可可編

2、程邏邏輯器件件的可配配置的軟軟核處理理器?;赗ISC技術(shù)16位指令集集16/32位數(shù)據(jù)通通道5級流水線線在一個時時鐘周期期內(nèi)完成成一條指指令的處處理具有一種種基于JTAG的OCI(片上儀器器)芯核核47.1Nios嵌入式處處理器簡簡介2003年,Altera發(fā)布了Nios3.0處理器,它有16位和32位兩個版版本,能能在低成成本的Cyclone和高性能能的Stratrix芯片上實實現(xiàn)。主要特性性:更多的可可配置寄寄存器極大的靈靈活性和和可擴(kuò)展展性功能強大大的開發(fā)發(fā)工具(SOPC Builder)第一代Nios處理器的的缺點沒有提供供軟件開開發(fā)的集集成環(huán)境境,用戶戶需要在在NiosSDKShe

3、ll中以命令令行的形形式執(zhí)行行軟件的的編譯、運行、調(diào)試。程序的的編輯、編譯、運行都都是分離離的。不支持對對項目的的編譯。57.1Nios嵌入式處處理器簡簡介二、第二二代Nios嵌入式處處理器2004年月,Altera繼在全球球推出Cyclone和Stratrix器件系列列后,又又推出支支持這些些新款芯芯片的Nios嵌入式處處理器。與第一代代Nios相比,最大處理理性能提提高了3倍,CPU內(nèi)核部分分的面積積最大可可縮小1/2(32位位Nios處理器占占用1500個LE,NiosII最少只占占用600個個LE)。廣泛應(yīng)用用于嵌入入式系統(tǒng)統(tǒng)的設(shè)計計中。67.1Nios嵌入式處處理器簡簡介種類特性CP

4、U結(jié)構(gòu)32Bit指令集32Bit數(shù)據(jù)寬度線32個通用寄存器2G Byte尋址空間片內(nèi)調(diào)試基于邊界掃描測試(JTAG)的調(diào)試邏輯,支持硬件斷點、數(shù)據(jù)觸發(fā)以及片外和片內(nèi)的調(diào)試跟蹤定制指令最多達(dá)到256個用戶定義的CPU指令軟件開發(fā)工具NiosIDE(集成開發(fā)環(huán)境)基于GNU的編譯器硬件輔助的調(diào)試模塊表7-1Nios系列處理理器的特特性77.1Nios嵌入式處處理器簡簡介NiosII提供3種不同的內(nèi)內(nèi)核,以以滿足系系統(tǒng)對不不同性能能和成本本的需求求。最高性能能的優(yōu)化化平衡性能能和尺寸寸最小邏輯輯占用的的優(yōu)化表7-2Nios系列處理理器的成成員87.1Nios嵌入式處處理器簡簡介NiosvsNiosN

5、iosPipelinedRISCArchitecture32-Bit InstructionsFlat Register File32-Bit DataPath32PrioritizedInterruptsOptionalInstruction&Data CacheCustomInstructionsBranchPredictionNiosPipelinedRISCArchitecture16-Bit InstructionsWindowedRegisterFile16-Bit or32-Bit DataPath64PrioritizedInterruptsOptionalInstructio

6、n&Data CacheCustomInstructions97.1Nios嵌入式處處理器簡簡介Nios :Faster &Smaller(Nios /f)107.1Nios嵌入式處處理器簡簡介Nios:Faster&Smaller (Nios /s)117.1Nios嵌入式處處理器簡簡介Nios:Faster&Smaller (Nios /e)127.1Nios嵌入式處處理器簡簡介High-Performance Interconnect采用內(nèi)部部Avlon總線,連連接主從構(gòu)件件,并進(jìn)進(jìn)行主從從構(gòu)件間間的通信信。主外設(shè)從外設(shè)137.1Nios嵌入式處處理器簡簡介Some ImportantP

7、eripheralsforNiosIISystemIDPeripheralUsed to EnsureHardware/SoftwareVersion SynchronizationSimple2read-onlyregisterperipheralcontaining hardware ID tags.Register1 contains randomnumberRegister2 contains timeand datewhensystemwas generatedinSOPC BuilderCanbecheckedatruntime to ensurethat thesoftwaret

8、obedownloaded matchesthe hardware imageMemoryInterfacesEPCS SerialFlashControllerOn-ChipRAM, ROMOff-ChipSRAMCFIFlash147.1Nios嵌入式處處理器簡簡介OtherImportantPeripheralsforNiosIILCDDisplayJTAG UARTSingleJTAGConnection For:DeviceConfigurationCode DownloadDebugTargetSTDIO(printing)FlashProgrammingCompact Flash

9、 InterfaceMass StorageSupportTrue IDEModeCompact Flash ModeSoftwareSupportsLow-LevelAPIMicroC/OS- FileSystemSupportCLinuxFile System157.1Nios嵌入式處處理器簡簡介NewPeripheralsforNiosII5.0SSRAMControllerCypress CY7C1380CSync SRAMcontrollerProvidedtosupport SSRAM componentonCyclone II devkitboardNotafullyconfig

10、urablegeneralpurpose controllerSupport forDDR/DDR2inSOPC BuilderGUIWith burst adapterSequential mastertointerleavedslaveenhancementSeparateREAD/Write duplexslavesAutomatically matchesaddressofread/write slavesArbitrationlogicconnectsread/write masterstobothslavesSupport forPCIand Bursting DMAinSOPC

11、BuilderGUIHigherbandwidth transfersthrough PCI167.1Nios嵌入式處處理器簡簡介Example of aNios ProcessorSystem處理器內(nèi)內(nèi)核內(nèi)部總線線定時器外設(shè)接口口177.1Nios嵌入式處處理器簡簡介NiosII:HardNumbers187.1Nios嵌入式處處理器簡簡介三、可配配置的軟軟核嵌入入式處理理器的優(yōu)優(yōu)勢1.合理的性性能組合合使用Nios嵌入式處處理器和和FPGA,用戶可以以實現(xiàn)在在處理器器、外設(shè)設(shè)、存儲儲器和I/O接口方面面的合理理組合。3種處理器器內(nèi)核60余種SOPC Builder配備的IP核無限的DMA通

12、道組合合可配置的的硬件及及軟件調(diào)調(diào)試特性性197.1Nios嵌入式處處理器簡簡介2.提升系統(tǒng)統(tǒng)的性能能Nios系統(tǒng)的性性能可根根據(jù)應(yīng)用用來裁減減,與固固定的處處理器相相比,在在較低的的時鐘速速率下具具備更高高的性能能。多CPU內(nèi)核:可選擇擇最快的的內(nèi)核,或在一一片F(xiàn)PGA內(nèi)采用多多個內(nèi)核核支持的FPGA系列:Stratix,Stratix,StratixGX,Cyclone,Cyclone,HardCopyStratix定制指令令:把用戶戶自定義義的功能能直接添添加到Nios處理器的的ALU中,加快快專項任任務(wù)的執(zhí)執(zhí)行,以以提高系系統(tǒng)的性性能硬件加速速:專用的的硬件加加速器添添加到FPGA中作

13、為CPU的協(xié)處理理器207.1Nios嵌入式處處理器簡簡介3.降低系統(tǒng)統(tǒng)成本主要途徑徑:更大規(guī)模模的系統(tǒng)統(tǒng)集成優(yōu)化FPGA/CPU的選擇:選擇經(jīng)經(jīng)濟(jì)型內(nèi)內(nèi)核應(yīng)用用于低成成本、低低性能系系統(tǒng),或或者在單單個FPGA上使用多多個內(nèi)核核更好的庫庫存管理理4.延長產(chǎn)品品的生命命周期加快產(chǎn)品品上市時時間:FPGA可編程、可隨時時驗證修修改建立有競競爭性的的優(yōu)勢:硬件加加速、定定制指令令、定制制外設(shè)延長了產(chǎn)產(chǎn)品的生生存時間間:可對硬硬件和軟軟件及時時進(jìn)行升升級在產(chǎn)品產(chǎn)產(chǎn)量增加加的情況況下減少少成本:可將FPGA設(shè)計無縫縫移植到到Altera的HardCopy器件(一一種結(jié)構(gòu)構(gòu)化的ASIC)中217.2Ni

14、os嵌入式處處理器軟軟、硬件件開發(fā)流流程一、硬件件開發(fā)流流程二、軟件件開發(fā)流流程內(nèi)容概要要227.2Nios嵌入式處處理器軟軟、硬件件開發(fā)流流程NiosDesignFlowSOPC BuilderGUIConnect BlocksProcessorLibraryCustomInstructionsPeripheral LibrarySelect & Configure Peripherals, IPIP ModulesConfigureProcessorGenerateEDIF NetlistHDL Source FilesTestbenchSynthesis &Fitter User Des

15、ignOther IP BlocksHardware DevelopmentQuartus IIOn-ChipDebugSoftware TraceHard BreakpointsSignalTap IIAlteraPLD JTAG,Serial, orEthernetExecutableCodeHardwareConfigurationFileVerification& Debug(1)(2)(3)(4)C Header filesCustom LibraryPeripheral DriversCompiler,Linker, DebuggerSoftware DevelopmentUser

16、 CodeLibrariesRTOSGNU ToolsNios II IDE237.2Nios嵌入式處處理器軟軟、硬件件開發(fā)流流程一、硬件件開發(fā)流流程下載完硬硬件配置置文件后后,軟件件開發(fā)者者就可以以把此開開發(fā)板作作為軟件件開發(fā)的的初期硬硬件平臺臺進(jìn)行軟軟件功能能的開發(fā)發(fā)驗證了了。(1)定義Nios嵌入式處處理器系系統(tǒng):使用SOPC Builder系統(tǒng)綜合合軟件選選取合適適的CPU、存儲器以以及外圍圍器件,并定制制其功能能。(2)指定目標(biāo)標(biāo)器件、分配引引腳、編編譯硬件件:使用Quartus選取Altera器件系列列,并對SOPC Builder生成的HDL設(shè)計文件件進(jìn)行布布局布線線;再選選取目

17、標(biāo)標(biāo)器件,分配管管腳,進(jìn)進(jìn)行硬件件編譯選選項或時時序約束束的設(shè)置置。編譯譯,生成成網(wǎng)表文文件和配配置文件件。(3)硬件下載載:使用Quartus軟件和下下載電纜纜,將配配置文件件下載到到開發(fā)板板上的FPGA中。當(dāng)校校驗完當(dāng)當(dāng)前硬件件設(shè)計后后,還可可再次將將新的配配置文件件下載到到開發(fā)板板上的非非易失存存儲器里里。247.2Nios嵌入式處處理器軟軟、硬件件開發(fā)流流程二、軟件件開發(fā)流流程(1)在使用用SOPC Builder進(jìn)行硬件件設(shè)計的的同時,就可以以開始編編寫?yīng)毩⒘⒂谄骷腃/C+軟件,比比如算法法或控制制程序。用戶可可以使用用現(xiàn)成的的軟件庫庫和開放放的操作作系統(tǒng)內(nèi)內(nèi)核來加加快開發(fā)發(fā)過程

18、。(2)在NiosIDE中建立新新的軟件件工程時時,IDE會根據(jù)SOPC Builder對系統(tǒng)的的硬件配配置自動動生成一一個定制制HAL(硬件抽象象層)系統(tǒng)庫。這個庫庫能為程程序和底底層硬件件的通信信提供接接口驅(qū)動動程序。(3)使用NiosIDE對軟件工工程進(jìn)行行編譯、調(diào)試。(4)將硬件件設(shè)計下下載到開開發(fā)板后后,就可可以將軟軟件下載載到開發(fā)發(fā)板上并并在硬件件上運行行。257.3Nios嵌入式處處理器系系統(tǒng)的開開發(fā)一、Nios集成開發(fā)發(fā)環(huán)境(IDE)介紹二、Nios嵌入式處處理器系系統(tǒng)設(shè)計計過程內(nèi)容概要要267.3Nios嵌入式處處理器系系統(tǒng)的開開發(fā)Nios IDE是Nios系列嵌嵌入式處處理

19、器的的基本軟軟件開發(fā)發(fā)工具,包括程程序的編編輯、編編譯、調(diào)調(diào)試和下下載運行行。Nios IDE使用方方法和VisualC+類似。在7.6節(jié)中中會結(jié)合合示例進(jìn)進(jìn)行講解解。Nios IDE為軟件件開發(fā)提提供了4個功能能:工程管理理器;編輯器和和編譯器器;調(diào)試器;閃存編程程器。一、Nios集成開發(fā)發(fā)環(huán)境(IDE)介紹277.3Nios嵌入式處處理器系系統(tǒng)的開開發(fā)(1)新新工程向向?qū)ё詣咏⒘/C+應(yīng)用程序序工程和和系統(tǒng)庫庫工程。(2)軟軟件工程程模板提供軟件件代碼實實例,幫幫助用戶戶盡快推推出可運運行的系系統(tǒng)(3)軟軟件組件件(系統(tǒng)統(tǒng)軟件)Nios運行庫(或稱為為硬件抽抽象層HAL);輕量級IPT

20、CP/IP庫;C/OS實時操作作系統(tǒng)(RTOS);Altera壓縮文件件系統(tǒng)。1.工工程管理理器287.3Nios嵌入式處處理器系系統(tǒng)的開開發(fā)(1)文文本編輯輯器全功能源源文件編編輯器:語法高亮亮顯示C/C+程序代碼碼;全面的搜搜索工具具;文件管理理;快速定位位及自動動糾錯;內(nèi)置調(diào)試試功能等等(2)C/C+編譯器提供圖形形化用戶戶界面;提供按鈕鈕式流程程;自動生成成一個基基于特定定用戶配配置的makefile。2.編編輯器和和編譯器器297.3Nios嵌入式處處理器系系統(tǒng)的開開發(fā)(1)基基本調(diào)試試功能運行控制制;調(diào)用堆棧棧查看;軟件斷點點;反匯編代代碼查看看;調(diào)試信息息查看;指令集仿仿真器。(

21、2)高高級調(diào)調(diào)試功能能硬件斷點點調(diào)試ROM或閃存中中的代碼碼;數(shù)據(jù)觸發(fā)發(fā);指令跟蹤蹤。3.調(diào)調(diào)試器(3)調(diào)調(diào)試信息息查看使用戶可可以訪問問本地變變量、寄寄存器、存儲器器、斷點點以及表表達(dá)式賦賦值函數(shù)數(shù)。(4)連連接多多種目標(biāo)標(biāo)FPGA開發(fā)板(通過JTAG);指令集仿仿真器;硬件邏輯輯仿真器器。307.3Nios嵌入式處處理器系系統(tǒng)的開開發(fā)4.閃閃存編程程器閃存可用用來存儲儲FPGA配置數(shù)據(jù)據(jù)和/或或Nios編程數(shù)據(jù)據(jù)。NiosIDE閃存編程程器可對對連接到到FPGA的兼容通通用閃存存接口(CFI)的閃存器器件、或或Altera串行配置置器件進(jìn)進(jìn)行編程程。內(nèi)容類型說 明系統(tǒng)固定軟件用于Nios處

22、理器復(fù)位時從閃存中導(dǎo)入啟動程序FPGA配置數(shù)據(jù)使用配置控制器,F(xiàn)PGA能夠在上電復(fù)位時從閃存獲取配置數(shù)據(jù)任意二進(jìn)制數(shù)據(jù)開發(fā)人員可以將任何二進(jìn)制數(shù)據(jù)存儲到閃存內(nèi),如圖形、音頻等表7-3編程到閃閃存中的的通用內(nèi)內(nèi)容類型型317.3Nios嵌入式處處理器系系統(tǒng)的開開發(fā)1.硬硬件設(shè)計計過程(1)創(chuàng)創(chuàng)建一個個Quqrtus工程(2)創(chuàng)創(chuàng)建Nios系統(tǒng)模塊塊啟動SOPC Builder添加CPU和外圍器器件指定基地地址系統(tǒng)設(shè)置置生成系統(tǒng)統(tǒng)模塊(3)將將Nios系統(tǒng)模塊塊符號添添加到BDF文件中(4)編編譯Quqrtus工程(5)配配置FPGA二、Nios嵌入式處處理器系系統(tǒng)設(shè)計計過程327.3Nios嵌入

23、式處處理器系系統(tǒng)的開開發(fā)(1)啟啟動Nios IDE;(2)建立新的的軟件工工程,編編寫源程程序;(3)編編譯工程程;(4)運運行程序序;(5)調(diào)調(diào)試程序序;(6)將程序下下載到開開發(fā)板上上的Flash中。2.軟軟件設(shè)計計過程337.4Nios處理器外外圍接口口一、標(biāo)準(zhǔn)準(zhǔn)外圍設(shè)設(shè)備二、用戶戶定制外外圍設(shè)備備內(nèi)容概要要347.4Nios處理器外外圍接口口一、標(biāo)準(zhǔn)準(zhǔn)外圍設(shè)設(shè)備Nios包括一個個常用外外圍設(shè)備備及接口口庫,在在AlteraFPGA中可免費費使用。標(biāo)準(zhǔn)外圍圍設(shè)備為即用型型設(shè)計模模塊,采采用VerilogHDL和VHDL的源代碼碼方式交交付使用用,其中中包括簡簡單系統(tǒng)統(tǒng)集成所所需要的的所

24、有軟軟件子程程序。查看各接接口的詳詳細(xì)說明明:可在在SOPC Builder的元件池池中用右右鍵點擊擊元件,在彈出出的菜單單中選擇擇“Data Sheet”選項。357.4Nios處理器外外圍接口口外圍設(shè)備接口說 明CompactFlash接口提供大容量存儲支持用戶邏輯接口將片內(nèi)用戶邏輯或片外器件連接到SOPC Builder生成的系統(tǒng)中UART提供通用串行接口,具有可變波特率、奇偶校驗、停止和數(shù)據(jù)位及可選的流量控制信號間隔時鐘提供32位時鐘,可作為周期脈沖發(fā)生器或系統(tǒng)看門狗時鐘并行I/O接口提供1位到32位的并行I/O接口(輸入、輸出、邊緣采樣)串行外圍設(shè)備接口(SPI)實現(xiàn)工業(yè)標(biāo)準(zhǔn)串行外圍

25、設(shè)備接口,支持主設(shè)備或從設(shè)備協(xié)議DMA控制器與存儲器進(jìn)行批數(shù)據(jù)交換,減輕CPU的負(fù)擔(dān)SDRAM控制器提供一個簡單Avalon接口,與片外的SDRAM溝通,支持8、16、32和64位數(shù)據(jù)寬度存儲器接口包括:片內(nèi)ROM和RAM、SDRAM、SSRAM、SRAM和Flash,Altera的串行配置器件以太網(wǎng)端口包括:10/100兆比特每秒SMSC LAN91C111單芯片以太網(wǎng)控制器,提供輕量級的IP TCP/IP協(xié)議棧的軟件支持,已經(jīng)包含在Nios 開發(fā)套件中JTAG UART(僅在Nios 中有)通過內(nèi)嵌在Altera FPGA內(nèi)部的聯(lián)合測試行動組(JTAG)電路,在PC主機(jī)和SOPC Buil

26、der系統(tǒng)之間進(jìn)行串行字符流通信表7-4Nios&Nios外圍設(shè)備備接口367.4Nios處理器外外圍接口口二、用戶戶定制外外圍設(shè)備備用戶可以以創(chuàng)建自自己的外外圍設(shè)備備,并通通過用戶戶邏輯接接口向?qū)?dǎo)集成到到Nios處理器系系統(tǒng)中。整個過程程用戶介介入很少少。377.5HAL系系統(tǒng)庫一、HAL系統(tǒng)庫簡簡介二、使用用HAL開發(fā)程序序內(nèi)容概要要387.5HAL系系統(tǒng)庫一、HAL系統(tǒng)庫簡介什么是HAL系統(tǒng)庫?用戶在進(jìn)進(jìn)行嵌入入式系統(tǒng)統(tǒng)的軟件件開發(fā)時時,會涉涉及到與與硬件的的通信問問題。HAL(HardwareAbstractionLayer,硬件抽象象層)系統(tǒng)庫可可為與硬硬件通信信的程序序提供簡單單

27、的設(shè)備備驅(qū)動接接口。它是用用戶在NiosIDE中創(chuàng)建一一個新的的工程時時,由IDE基于用戶戶在SOPC Builder中創(chuàng)建的的Nios處理器系系統(tǒng)自動生成成的。HAL應(yīng)用程序序接口(API)和ANSI C標(biāo)準(zhǔn)庫綜綜合在一一起,它它使用戶戶用類似似C語言的庫庫函數(shù)來來訪問硬硬件設(shè)備備或文件件,如printf()、fopen()、fwrite()等函數(shù)。397.5HAL系系統(tǒng)庫HAL為嵌入式式系統(tǒng)中中的外圍圍設(shè)備提提供接口口程序。HAL和SOPC Builder緊密相關(guān)關(guān),如果果硬件配配置有了了變化,HAL設(shè)備驅(qū)動動配置也也會自動動隨之改改動,從從而避免免了由于于底層硬硬件的變變化而產(chǎn)產(chǎn)生的程程

28、序錯誤誤。用戶不必必自己創(chuàng)創(chuàng)建或拷拷貝HAL文件,也也不必編編輯HAL中的任何何源代碼碼。NiosIDE會為用戶戶自動創(chuàng)建建和管理理HAL文件。407.5HAL系系統(tǒng)庫與ANSI C合成的標(biāo)標(biāo)準(zhǔn)庫提供類似似C語言的標(biāo)標(biāo)準(zhǔn)庫函函數(shù);設(shè)備驅(qū)動動提供訪問問系統(tǒng)中中每個設(shè)設(shè)備的驅(qū)驅(qū)動程序序;HALAPI提供標(biāo)準(zhǔn)準(zhǔn)的接口口程序,如設(shè)備備訪問、中斷處處理等;系統(tǒng)初始始化在main()函數(shù)之前前執(zhí)行對對處理器器的初始始化;設(shè)備初始始化在main()函數(shù)之前前執(zhí)行對對系統(tǒng)中中外圍設(shè)設(shè)備的初初始化。用戶程序C語言標(biāo)準(zhǔn)庫HAL API硬件設(shè)備驅(qū)動設(shè)備驅(qū)動設(shè)備驅(qū)動圖7-1HAL所基于的的系統(tǒng)層層次1.HAL構(gòu)成41

29、7.5HAL系系統(tǒng)庫應(yīng)用程序序開發(fā)是用戶軟軟件開發(fā)發(fā)的主要要部分,包括系系統(tǒng)的主主程序和和其他子子程序。應(yīng)用程序序與系統(tǒng)統(tǒng)設(shè)備的的通信主要是通通過C語言標(biāo)準(zhǔn)準(zhǔn)庫或HAL系統(tǒng)庫API來實現(xiàn)。驅(qū)動程序序開發(fā)指編寫供供應(yīng)用程程序訪問問設(shè)備的的程序。驅(qū)動程序序直接和和底層硬硬件的宏宏定義打打交道。一旦用戶戶要訪問問設(shè)備的的驅(qū)動程程序編寫寫好,用用戶的程程序開發(fā)發(fā)只要利利用HAL提供的各各種函數(shù)數(shù)就可以以編寫各各種應(yīng)用用程序了了。2.應(yīng)用程序序和驅(qū)動動427.5HAL系系統(tǒng)庫HAL為嵌入式式系統(tǒng)中中常見的的外圍設(shè)設(shè)備提供供了通用用的設(shè)備備模型,使用戶戶無需考考慮底層層硬件,只需利利用與之之相一致致的AP

30、I編寫應(yīng)用用程序即即可。字符模式式設(shè)備發(fā)送和接接受字符符串的外外圍硬件件設(shè)備,如UART定時器設(shè)設(shè)備對時鐘脈脈沖計數(shù)數(shù)并能產(chǎn)產(chǎn)生周期期性中斷斷請求的的外圍硬硬件設(shè)備備文件子系系統(tǒng)提供訪問問存儲在在物理設(shè)設(shè)備中的的文件的的操作,如用戶戶可以利利用有關(guān)關(guān)Flash存儲器設(shè)設(shè)備的HALAPI編寫Flash文件子系系統(tǒng)驅(qū)動動來訪問問Flash。以太網(wǎng)設(shè)設(shè)備對Altera提供的輕輕量級的的IP協(xié)議提供供訪問以以太網(wǎng)的的連接。DMA設(shè)備執(zhí)行大量量數(shù)據(jù)在在數(shù)據(jù)源源和目的的地之間間傳輸?shù)牡耐鈬O(shè)設(shè)備。數(shù)數(shù)據(jù)源和和目的地地可以是是存儲器器或其他他設(shè)備,如以太太網(wǎng)連接接。Flash存儲器設(shè)設(shè)備利用專門門編程協(xié)協(xié)議

31、存儲儲數(shù)據(jù)的的非易失失性存儲儲設(shè)備。3.通通用設(shè)備備模型437.5HAL系系統(tǒng)庫5.HAL支持的外外圍設(shè)備備Altera提供了許許多在Nios處理器系系統(tǒng)中使使用的外外圍設(shè)備備,大部部分外圍圍設(shè)備都都提供了了HAL驅(qū)動,使使用戶可可通過HALAPI訪問硬件件。字符模式式設(shè)備定時器設(shè)設(shè)備文件子系系統(tǒng)以太網(wǎng)設(shè)設(shè)備DMA設(shè)備Flash存儲器設(shè)設(shè)備一些第三三方外圍圍設(shè)備4.C標(biāo)準(zhǔn)庫NewlibHAL系統(tǒng)庫與與ANSI C標(biāo)準(zhǔn)庫一一起構(gòu)成成HAL的運行環(huán)環(huán)境(Runtime Environment)。HAL使用的Newlib是C語言標(biāo)準(zhǔn)準(zhǔn)庫的一一種開放放源代碼碼的實現(xiàn)現(xiàn),是在在嵌入式式系統(tǒng)上上使用的的C

32、語言程序序庫,正正好與HAL和Nios處理器相相匹配。447.5HAL系系統(tǒng)庫二、使用用HAL開發(fā)程序序HAL和SOPC Builder緊密相關(guān)關(guān),如果果硬件配配置有了了變化,HAL設(shè)備驅(qū)動動配置也也會自動動隨之改改動,從從而避免免了由于于底層硬硬件的變變化而產(chǎn)產(chǎn)生的程程序錯誤誤。用戶不用用自己創(chuàng)創(chuàng)建或拷拷貝HAL文件,而而且用戶戶也不用用編輯HAL中的任何何源代碼碼。NiosIDE會為用戶戶自動創(chuàng)創(chuàng)建和管管理HAL文件。457.5HAL系系統(tǒng)庫1.NiosIDE工程結(jié)構(gòu)構(gòu)軟件硬件467.5HAL系系統(tǒng)庫2.System.h系統(tǒng)描述述文件System.h文件是HAL系統(tǒng)庫的的基礎(chǔ),它提供供了關(guān)

33、于于Nios系統(tǒng)硬件件的軟件件描述。它描述述了系統(tǒng)統(tǒng)中的每每個外圍圍設(shè)備,并給出出以下一一些詳細(xì)細(xì)信息:外圍設(shè)備備的硬件件配置;基地址;中斷優(yōu)先先級;外圍器件件的符號號名稱。用戶無須須編輯System.h文件,它它是由NiosIDE自動生成成的。可以到以以下目錄錄中查看看System.h文件,:Quartus工程softwareNios工程名_syslibDebugsystem_description477.5HAL系系統(tǒng)庫3.數(shù)據(jù)寬度度和HAL類型定義義alt_types.h頭文件定定義了HAL的數(shù)據(jù)類類型。在在以下路路徑可以以查看該該文件:Nios安裝路徑徑componentsaltera

34、_nios2HALinc部分代碼碼摘抄如如下:typedefsignedcharalt_8;typedefunsignedcharalt_u8;typedefsignedshortalt_16;typedefunsignedshortalt_u16;typedefsignedlongalt_32;typedefunsignedlong alt_u32;類型說明alt_8有符號8位整數(shù)alt_u8無符號8位整數(shù)alt_16有符號16位整數(shù)alt_u16無符號16位整數(shù)alt_32有符號32位整數(shù)alt_u32無符號32位整數(shù)487.5HAL系系統(tǒng)庫4.文件系統(tǒng)統(tǒng)HAL提出了文文件系統(tǒng)統(tǒng)的概念念,

35、可以以使用戶戶操作字符模式式的設(shè)備和和文件。在整個HAL文件系統(tǒng)統(tǒng)中將文文件子系系統(tǒng)注冊冊為載入入點,要要訪問這這個載入入店下的的文件就就要由這這個文件件子系統(tǒng)統(tǒng)管理。字符模式式的設(shè)備備寄存器器常作為為HAL文件系統(tǒng)統(tǒng)中的節(jié)節(jié)點。通通常情況況下,system.h文件中將將設(shè)備節(jié)節(jié)點的名名字定義義為前綴綴/dev/+在SOPC Builder中指定給給硬件元元件的名名稱。以下例子子完成了了從一個個只讀文文件的文文件子系系統(tǒng)rozipfs中讀取字字符的功功能。497.5HAL系系統(tǒng)庫例從文件子子系統(tǒng)中中讀取字字符。#include #include#include#define BUF_SIZE

36、(10)intmain()FILE *fp;char bufferBUF_SIZE;fp=fopen(“/mount/rozipfs/test”,”r”);if(fp=NULL )printf(“cannotopen file.n”);exit(1);fread(buffer,BUF_SIZE,1,fp);Fclose();return0;507.5HAL系系統(tǒng)庫5.外圍設(shè)備備的使用用現(xiàn)以字符符模式外外圍設(shè)備備為例介介紹在用用戶程序序中如何何對外圍圍設(shè)備進(jìn)進(jìn)行操作作。字符符模式外外圍設(shè)備備在HAL文件系用用中被定定義為節(jié)點。一般情情況下,程序先先將一個個文件和設(shè)備名稱稱聯(lián)系起來來,再通通過使用

37、用file.h中定義的的ANSI C文件操作作向文件件寫數(shù)據(jù)據(jù)或從文文件讀取取數(shù)據(jù)。(1)標(biāo)標(biāo)準(zhǔn)輸入入(stdin)、標(biāo)準(zhǔn)輸出出(stdout)和標(biāo)準(zhǔn)錯錯誤(stderr)函數(shù)使用這些些函數(shù)是是最簡單單的控制制I/O的方法;HAL系統(tǒng)庫在在后臺管管理stdin、stdout和stderr函數(shù)。例發(fā)送Helloworld給任何一一個和stdout連接的設(shè)設(shè)備。#include intmain()printf(“Hello world!/n”);return0;517.5HAL系系統(tǒng)庫(2)字字符模式式設(shè)備的的通用訪訪問方法法除stdin、stdout和stderr函數(shù)外,訪問字字符模式式設(shè)備還還

38、可以通通過打開開和寫文文件的方方式。例向UART寫入字符符”helloworld”。#include#includeintmain()char*msg= “helloworld”;FILE*fp;fp= fopen(“/dev/uart1”,”w”);if(fp) fprintf(fp,”%s”,msg);fclose(fp);return0;527.5HAL系系統(tǒng)庫(3)/dev/null設(shè)備所有的系系統(tǒng)都包包括/dev/null設(shè)備。向向/dev/null寫數(shù)據(jù)對對系統(tǒng)沒沒有什么么影響,所寫的的數(shù)據(jù)將將被丟棄棄。/dev/null用來在系系統(tǒng)啟動動過程中中重定向向安全I(xiàn)/O,也可以用用在應(yīng)

39、用用程序中中丟棄不不需要的的數(shù)據(jù)。這個設(shè)設(shè)備只是是個軟件件指令,不與系系統(tǒng)中任任何一個個硬件設(shè)設(shè)備相關(guān)關(guān)。537.6設(shè)計實例例電子子鐘一、設(shè)計計要求與與軟硬件件規(guī)劃二、硬件件部分設(shè)設(shè)計三、軟件件部分設(shè)設(shè)計內(nèi)容概要要547.6設(shè)計實例例電子子鐘一、設(shè)計計要求與與軟硬件件規(guī)劃本節(jié)介紹紹一個電電子鐘的的軟、硬硬件方案案設(shè)計以以及具體體的軟件件實現(xiàn)。1.系統(tǒng)功能能1)在液晶晶屏上顯顯示時間間、日期期、狀態(tài)態(tài)提示;2)對時間間、日期期能夠進(jìn)進(jìn)行設(shè)置置。2.硬件系統(tǒng)統(tǒng)組成規(guī)規(guī)劃本系統(tǒng)需需使用的的外圍器器件包括括:1)LCD:電電子鐘顯顯示屏幕幕;2)按鈕鈕:電子子鐘設(shè)置置功能鍵鍵;3)Flash存儲器器:

40、存儲儲硬件和和程序;4)SRAM存存儲器:程序運運行時將將其導(dǎo)入入SRAM。557.6設(shè)計實例例電子子鐘2.硬件系統(tǒng)統(tǒng)組成規(guī)規(guī)劃(續(xù)續(xù))在SOPC Builder中建立系系統(tǒng)要添添加的模模塊包括括:1)Nios 32bitsCPU;2)定時器;3)按鍵鍵PIO;4)LCDDisplay ;5)外部RAM總線(Avalon三態(tài)橋) ;6)外部部RAM接口;7)外部部Flash接口;8)重新新配置請請求PIO;9)JTAGUARTInterface;10)EPCS SerialFlashController。567.6設(shè)計實例例電子子鐘端口定義義表序 號名稱輸入/輸出描述1clkIn時鐘輸入,50

41、MHz2reset_nIn復(fù)位輸入3in_port_to_the_button_3.0In4個按鍵輸入4be_n_to_the_ext_ram3.0Out字節(jié)選擇5ext_ram_bus_address22.0OutSRAM地址6ext_ram_bus_data31.0InoutSRAM數(shù)據(jù)7read_n_to_the_ext_flashOutFLASH輸出使能(即讀信號)8read_n_to_the_ext_ramOutSRAM輸出使能9select_n_to_the_ext_flashOutFLASH片選信號10select_n_to_the_ext_ramOutSRAM片選信號577.6

42、設(shè)計實例例電子子鐘端口定義義表(續(xù)續(xù))序號名 稱輸入/輸出描 述11write_n_to_the_ext_flashOutFLASH寫信號12write_n_to_the_ext_ramOutSRAM寫信號13LCD_E_from_the_lcd_displayOutLCD使能信號14LCD_RW_from_the_lcd_displayOutLCD讀寫信號15LCD_RS_from_the_lcd_displayOutLCD端口尋址信號16LCD_data_to_and_from_the_lcd_display7.0InoutLCD數(shù)據(jù)17bidir_port_to_and_from_the

43、_reconfig_request_pioInout重新配置請求587.6設(shè)計實例例電子子鐘軟件功能能包括顯顯示、設(shè)設(shè)置和時時間算法法三大部部分。1)顯示部部分(1)顯顯示時間間(小時:分鐘:秒)(2)顯顯示日期期(年-月月-日)(3)顯顯示狀態(tài)態(tài)提示(如Beijing Time、Set hour等等)2)設(shè)置部部分其功能包包括設(shè)置置小時、分鐘、年份、月份、日期和和退出設(shè)設(shè)置。編寫程序序前對開開發(fā)板上上4個功功能鍵的的分配如如下:(1)主主菜單SW0:設(shè)置選擇擇鍵,可依次選選擇設(shè)置置小時、分鐘、年份、月份、日期SW1:顯示日期期鍵SW2:顯示時間間鍵(2)子子菜單(即進(jìn)入到到對某個個對象設(shè)設(shè)置

44、后的的鍵盤功功能)SW1:對象數(shù)字字增加SW2:對象數(shù)字字減少SW3:退出,返回主菜菜單3.軟軟件系統(tǒng)統(tǒng)規(guī)劃597.6設(shè)計實例例電子子鐘3)時間間算法部部分(1)時時間累加加(2)確確定每個個月的最最大天數(shù)數(shù),使年年、月、日能夠夠正確累累加3.軟軟件系統(tǒng)統(tǒng)規(guī)劃(續(xù))只有顯示示和響應(yīng)應(yīng)按鍵部部分涉及及硬件,其它子子程序可可以在進(jìn)進(jìn)行硬件件設(shè)計的的同時開開始編寫寫。607.6設(shè)計實例例電子子鐘電子鐘主主程序流流程圖617.6設(shè)計實例例電子子鐘按鍵處理理子程序序handle_button_press(FILE*lcd)是一個主主要的子子程序。它首先采用用多分支支ifelse ifelse形式,根根據(jù)

45、flag的取值,執(zhí)行不不同的程程序塊。在每一一個條件件下(如如flag=0,flag=1,flag=5),又采用開開關(guān)(switch)分支結(jié)構(gòu)構(gòu),根據(jù)據(jù)edge_capture的值判斷斷應(yīng)執(zhí)行行哪個程程序段。flag=0flag=1flag=2flag=3flag=4flag=5進(jìn)入主菜單進(jìn)入小時設(shè)置子菜單進(jìn)入分鐘設(shè)置子菜單進(jìn)入年份設(shè)置子菜單進(jìn)入月份設(shè)置子菜單進(jìn)入日期設(shè)置子菜單哪個按鍵被按下SW0SW1SW2SW3edge_capture取值(十六進(jìn)制)0 x10 x20 x40 x8627.6設(shè)計實例例電子子鐘按鍵處理理子程序序流程flag=0flag=1flag=2flag=3flag=4

46、flag=5SW0被按下調(diào)用timer_set子程序調(diào)用timer_set子程序、顯示時間調(diào)用timer_set子程序、顯示時間調(diào)用timer_set子程序、顯示日期調(diào)用timer_set子程序、顯示日期調(diào)用timer_set子程序、顯示日期SW1被按下顯示Todays date和日期小時數(shù)+1、顯示時間分鐘數(shù)+1、顯示時間年份數(shù)+1、顯示日期月份數(shù)+1、顯示日期天數(shù)+1、顯示日期SW2被按下顯示Beijing Time和時間小時數(shù)-1、顯示時間分鐘數(shù)-1、顯示時間年份數(shù)-1、顯示日期月份數(shù)-1、顯示日期天數(shù)-1、顯示日期SW3被按下顯示Beijing Time和時間退出當(dāng)前設(shè)置、顯示Beij

47、ing Time和時間退出當(dāng)前設(shè)置、顯示Beijing Time和時間退出當(dāng)前設(shè)置、顯示Beijing Time和時間退出當(dāng)前設(shè)置、顯示Beijing Time和時間退出當(dāng)前設(shè)置、顯示Beijing Time和時間下面以表表格形式式給出按鍵處理理子程序序進(jìn)行處理的關(guān)關(guān)鍵步驟驟。637.6設(shè)計實例例電子子鐘二、硬件件部分設(shè)設(shè)計1)創(chuàng)建一一個Quartus工程(1)啟啟動Quartus5.0(2)創(chuàng)建工程程quartus_nios2_project按第4章所教的的方法建建立一個個工程,取名為為quartus_nios2_project.qpf,選擇元件件為Cyclone庫的EP1C20F400C7

48、新建設(shè)計計文件quartus_nios2_project.bdf在設(shè)計文文件中依依次添加加如下圖圖所示的的一些引引腳最簡單的的方法是是沿用NiosIDE已給示例例的硬件件設(shè)計!647.6設(shè)計實例例電子子鐘新建設(shè)計計文件quartus_nios2_project.bdf657.6設(shè)計實例例電子子鐘方法:(1)將“C:alterakitsnios2examplesverilogniosII_cyclone_1c20standard”中所有文文件拷入入自己新新建的工工作目錄錄elec_timer_std;(2)在Quartus5.0中打開其其中的standard.qpf文件;(3)打開頂層層圖形文文

49、件standard.bdf;(4)根據(jù)實際際需要刪刪除或添添加引腳腳,對引腳腳重命名名等。說明:最簡單的的方法是是沿用NiosIDE已給示例例的硬件件設(shè)計,這樣容容易將設(shè)設(shè)計成功功下載到到目標(biāo)芯芯片中。667.6設(shè)計實例例電子子鐘打開已有有的設(shè)計計standard.bdf677.6設(shè)計實例例電子子鐘重點掌握握!2)創(chuàng)建Nios系統(tǒng)模塊塊(1)啟動SOPC Builder在Quartus5.0中執(zhí)行“ToolsSOPC Builder”命令,則則出現(xiàn)CreateNew System設(shè)置向?qū)?dǎo);在“SystemName”欄中輸入入系統(tǒng)名名稱first_nios2_system;點擊OK按鈕,彈彈出

50、AlteraSOPC Builder主窗口;在Target-Board選擇NiosDevelopmentBoardCyclone(EP1C20);在Clock-clk中輸入50。687.6設(shè)計實例例電子子鐘修改已有有的Nios系統(tǒng)模塊塊的方法法:1. 在standard.bdf文件中雙雙擊std_1c20,則自動打打開SOPC Builder;2.在SOPC Builder的模塊表表中將不不用組件件(或稱稱模塊)的Use復(fù)選框去去掉;更更改某些些組件的的名稱;刪除不不用的組組件(如如systemID);添加所需需的新組組件;3.如果需要要,還可可以右擊擊cpu,選擇“Edit”,對該cpu的類

51、型、InstuctionsCaches大小等選選項重新新進(jìn)行設(shè)設(shè)置。說明:若沿用的的是NiosIDE已給示例例的硬件件設(shè)計,則不必必從頭創(chuàng)創(chuàng)建Nios系統(tǒng)模塊塊,可對對已有的的Nios系統(tǒng)模塊塊進(jìn)行適適當(dāng)修改改,而快快速得到到用戶所所需的Nios系統(tǒng)模塊塊。697.6設(shè)計實例例電子子鐘對已有的的Nios系統(tǒng)模塊塊std_1c20進(jìn)行修改改不用組件件的Use復(fù)選框去去掉707.6設(shè)計實例例電子子鐘需從SOPC Builder的元件池池中選擇擇以下元元件加入入到當(dāng)前前系統(tǒng)中中:Nios 32bit CPU、JTAGUART接口、定定時器、按鍵PIO、LCD Display、外部RAM總線(Aval

52、on三態(tài)橋)、外部RAM接口、外外部Flash接口和新新配置請請求PIO。添加Nios 32bit CPU在模塊池的的AvalonModule下選擇Nios Processor-AlteraCorporation;點擊Add,出現(xiàn)設(shè)置置向?qū)ВJ(rèn)名名為cpu_0);在Nios Core頁中選擇擇Nios/S,在Caches&Tightly coupledMemoris頁中選擇擇InstuctionsCaches為4Kbytes,在JTAG Debug Module頁中選擇擇Level1;點擊Finish返回主窗窗口,將將cpu_0重命名為為cpu。(2)添加CPU和外圍器器件717.6設(shè)計實例

53、例電子子鐘 添加加Nios32bitCPU727.6設(shè)計實例例電子子鐘在模塊池池中選擇擇Communication-JTAG UART,點擊Add,會出現(xiàn)JTAG UART-jtaguart_0的設(shè)置向向?qū)?;保持系統(tǒng)統(tǒng)默認(rèn)的的選項,點擊Finish,返回主窗窗口;將jtaguart_0重命名為為jtag_uart。 添加加JTAG UARTInterface737.6設(shè)計實例例電子子鐘在模塊池池中選擇擇Other-Intervaltimer,點擊Add,會出現(xiàn)AvalonTimer-timer_0的設(shè)置向向?qū)?;在Initial Period欄中選擇擇“1msec”,在PresetConfigu

54、ration欄中選擇擇“Full-featured”;點擊Finish,返回主窗窗口;將timer_0重命名為為system_timer。 添加加定時器器747.6設(shè)計實例例電子子鐘在模塊池池中選擇memory-Flash Memory(CommonFlashInterface),點擊Add,會出現(xiàn)FlashMemory(Common Flash Interface)-cfi_flash_0的設(shè)置向向?qū)В?添加加外部存存儲Flash接口根據(jù)所使使用的開開發(fā)板,在Presets項選擇Flash器件為AMD29LV065D-120R;其余保持持系統(tǒng)默默認(rèn)的選選項,點點擊Finish,返回主窗窗口;

55、將cfi_flash_0重命名為為ext_flash。757.6設(shè)計實例例電子子鐘根據(jù)開發(fā)發(fā)板類型型在元件件池中選選擇相應(yīng)應(yīng)的SRAM,在本例中中選擇EP1C20-IDT71V416SRAM,點擊Add,會出現(xiàn)SRAM(twoIDT71V416chips)-sram_0的設(shè)置向向?qū)В?添加加外部RAM接口在Attributes欄中,確確定存儲儲器大小小為1024KB;其余保持持系統(tǒng)默默認(rèn)的選選項,點點擊Finish,返回主窗窗口;將sram_0重命名為為ext_ram。767.6設(shè)計實例例電子子鐘AvalonTri-State Bridge是Nios處理器與與FPGA片外存儲儲器之間間相互通通

56、信的橋橋梁。在模塊池池中選擇擇Bridge-AvalonTri-State Bridge,點擊Add,會出現(xiàn)AvalonTri-State Bridge-tri_state_bridge_0的設(shè)置向向?qū)В?添加加外部RAM總線(AvalonTri-State Bridge)保持系統(tǒng)統(tǒng)默認(rèn)的的選項,點擊Finish,返回主窗窗口;將tri_state_bridge_0重命名為為ext_ram_bus。777.6設(shè)計實例例電子子鐘在模塊池池中選擇擇Other- PIO(ParallelI/O),點擊Add,會出現(xiàn)AvalonPIO-pio_0的設(shè)置向向?qū)В?添加加ButtonPIO確定以下下選項:

57、Width為4bits,Direction選中Inputport only,則使能了了InputOptions欄;787.6設(shè)計實例例電子子鐘點擊InputOptions標(biāo)簽頁;在Edge CaptureRegster域選取Synchronously capture;選擇Falling Edge;在Interrupt域選取GenerateIRQ;選擇Edge;點擊Finish,返回主窗窗口;將pio_0重命名為為button_pio。 添加加ButtonPIO(續(xù))797.6設(shè)計實例例電子子鐘重新配置置請求PIO可使器件件根據(jù)其其它外圍圍設(shè)備的的輸入自自動進(jìn)行行重新配配置。選擇Other- P

58、IO(ParallelI/O),點擊Add,會出現(xiàn)AvalonPIO-pio_0的設(shè)置向向?qū)?;添加方法法與添加加其它PIO的過程一一樣,不不同的是是設(shè)置以以下屬性性:width設(shè)為1bit,在Direction中選擇Bidirectional(tri-state)ports選項,其其余設(shè)置置均保持持默認(rèn)選選項;點擊Finish,返回主窗窗口;將AvalonPIO-pio_0重命名為為reconfig_request_pio。 添加加重新配配置請求求PIO在模塊池池中選擇擇Display-Character LCD(162optrex16207),點擊Add,則直接添添加到模模塊表中中;將lcd

59、_16207_0重命名為為lcd_display。 添加加LCDDisplay807.6設(shè)計實例例電子子鐘最終的Nios系統(tǒng)模塊塊配置817.6設(shè)計實例例電子子鐘在SOPC Builder的模塊表表中點擊擊ext_flash,并修改其其Base為0 x0,此時會在在信息欄欄出現(xiàn)基基地址沖沖突錯誤誤,如圖圖所示;(3)指定基基地址選中ext_flash那一行,執(zhí)行Module-LockBaseAddress菜單命令令,會在在ext_flash的基地址址旁邊出出現(xiàn)一個個鎖子的的圖標(biāo);執(zhí)行System-AutoAssign BaseAddress菜單命令令。使SOPC Builder給其它沒沒有鎖定

60、定的地址址重新分分配地址址,則之之前出現(xiàn)現(xiàn)的那些些錯誤信信息都消消失了。827.6設(shè)計實例例電子子鐘指定基地地址837.6設(shè)計實例例電子子鐘選擇NiosMore ”cpu” Settings標(biāo)簽頁,按照下下圖所示示對系統(tǒng)統(tǒng)進(jìn)行設(shè)設(shè)置。(4)系統(tǒng)設(shè)設(shè)置847.6設(shè)計實例例電子子鐘(5)生成Nios系統(tǒng)模塊塊選擇SystemGerneration標(biāo)簽頁;在SystemGerneration中選中HDL選項;如如果安裝裝了ModelSim軟件并需需要仿真真此設(shè)計計,可以以選擇Simulation選項;點擊Generate,則生成系系統(tǒng)模塊塊,成功功則顯示示“SUCCESS:SYSTEMGENERAT

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論