電工電子技術(shù)檢測(cè)題習(xí)題(含答案)_第1頁(yè)
電工電子技術(shù)檢測(cè)題習(xí)題(含答案)_第2頁(yè)
電工電子技術(shù)檢測(cè)題習(xí)題(含答案)_第3頁(yè)
電工電子技術(shù)檢測(cè)題習(xí)題(含答案)_第4頁(yè)
電工電子技術(shù)檢測(cè)題習(xí)題(含答案)_第5頁(yè)
已閱讀5頁(yè),還剩52頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

/446、當(dāng)74LS148的輸入端I。?I7按順序輸入時(shí),輸出,~丫0為(3)。A、A、101 B、0107、一個(gè)兩輸入端的門電路,當(dāng)輸入為A、與非門 B、或門8、多余輸入端可以懸空使用的門是(C、001 D、1101和0時(shí),輸出不是1的門是(D)。C、或非門 D、異或門B)。A、與門 BA、與門 B、TTL與非門9、數(shù)字電路中機(jī)器識(shí)別和常用的數(shù)制是(A、二進(jìn)制 B、八進(jìn)制C、CMOS與非門A)。C、十進(jìn)制D、或非門D、十六進(jìn)制10、能驅(qū)動(dòng)七段數(shù)碼管顯示的譯碼器是(A)。A、74LS48BA、74LS48B、74LS138C、74LS148D、TS547異或門異或門四、簡(jiǎn)述題(共8分)1、組合邏輯電路有何特點(diǎn)?分析組合邏輯電路的目的是什么?簡(jiǎn)述分析步驟。 (4分)答:組合邏輯電路的特點(diǎn)是輸出僅取決于輸入的現(xiàn)態(tài)。分析組合邏輯電路的目的是找出已知組合邏輯電路的功能,分析的步驟為四步:①根據(jù)已知邏輯電路圖用逐級(jí)遞推法寫出對(duì)應(yīng)的邏輯函數(shù)表達(dá)式; ②用公式法或卡諾圖法對(duì)的寫出的邏輯函數(shù)式進(jìn)行化簡(jiǎn),得到最簡(jiǎn)邏輯表達(dá)式;③根據(jù)最簡(jiǎn)邏輯表達(dá)式,列出相應(yīng)的邏輯電路真值表;④根據(jù)真值表找出電路可實(shí)現(xiàn)的邏輯功能并加以說(shuō)明,以理解電路的作用。2、何謂編碼?何謂譯碼?二進(jìn)制編碼和二一十進(jìn)制編碼有何不同?( 4分)答:編碼就是把人們熟悉的特定信息編成機(jī)器識(shí)別的二進(jìn)制代碼的過(guò)程; 譯碼則是編碼的逆過(guò)程,就是把機(jī)器識(shí)別的二進(jìn)制代碼還原成人們識(shí)別的特定信息。二一十進(jìn)制編碼則每四位二進(jìn)制數(shù)對(duì)應(yīng)一個(gè)十進(jìn)制數(shù),其中具有無(wú)效碼;而二進(jìn)制編碼則不具有無(wú)效碼。UA五、分析題(共12分)1、圖9-42所示是ua、ub兩輸入端門的輸入波形,試畫 | ,出對(duì)應(yīng)下列門的輸出波形。 (4分)①與門②與非門③或非門④異或門解:對(duì)應(yīng)輸入波形,可畫出各門的輸出波形如右圖紅筆所示。2、寫出圖9-43所示邏輯電路的邏輯函數(shù)表達(dá)式。 (8分)ABFCD(a)ABFC(b)ABFCD(a)ABFC(b)圖9-439.5.2邏輯電路圖解:(a)圖邏輯函數(shù)表達(dá)式: FADABCADCD(b)圖邏輯函數(shù)表達(dá)式: FABBC六、計(jì)算畫圖題(共22分)1、化簡(jiǎn)下列邏輯函數(shù)(16分)F(AB)CAB=ABCFACABBC=ACBFABCABCABCABCABC=ABABBCFABCABBCD=ABBCBDF(AB)CACABBC=CABfAbbcbc=AbcF(A、B、C、D) m(0,1,6,7,8,12,14,15)=ABCACDBC&F (A、B、C、D)m(0,1,5,7,8,14,15) d(3,9,12)=ADBCABCACD2、(365)10=(101101101)2=(555)8=(16D)16(3分)3、(11101.1)2=(29.5)10=(35.4)8=(1D.8)16(3分)七、設(shè)計(jì)題(共8分)1、畫出實(shí)現(xiàn)邏輯函數(shù)FABABC七、設(shè)計(jì)題(共8分)1、畫出實(shí)現(xiàn)邏輯函數(shù)FABABC(4分)Ac的邏輯電路。F*應(yīng)用能力訓(xùn)練附加題:用與非門設(shè)計(jì)一個(gè)組合邏輯電路,完成如下功能:只有當(dāng)三個(gè)裁判(包括裁判長(zhǎng))或裁判長(zhǎng)和一個(gè)裁判認(rèn)為杠鈴已舉起并符合標(biāo)準(zhǔn)時(shí),按下按鍵,使燈亮(或鈴響),表示此次舉重成功,否則,表示舉重失敗。解:附加題顯然是一個(gè)三變量的多數(shù)表決電路。 其中三個(gè)裁判為輸入變量,按鍵為輸出變量。普通裁判同意為1分,裁判長(zhǎng)A同意為2分,滿3分時(shí)F為1,同意舉重成功;不足3分F為0,表示舉重失敗。真值表為:ABCF00000010010001101000101111011111相應(yīng)逵輯表達(dá)式為FABCABCABCACABABACA&二1L1B&f—Ll F&5 nc_ 第…1Q.章…檢測(cè)題…….(共..100一分4.120分鐘)…一、填空題:(每空0.5分,共20分)1、兩個(gè)與非門構(gòu)成的基本RS觸發(fā)器的功能有置0、置1和保持。電路中不允許兩個(gè)輸入端同時(shí)為0,否則將出現(xiàn)邏輯混亂。2、鐘控RS觸發(fā)器具有“空翻”現(xiàn)象,且屬于電平觸發(fā)方式的觸發(fā)器;為抑制“空翻”,人們研制出了邊沿觸發(fā)方式的JK觸發(fā)器和D觸發(fā)器。3、JK觸發(fā)器具有置0、置1、保持和翻轉(zhuǎn)四種功能。欲使JK觸發(fā)器實(shí)現(xiàn)Qn1Qn的功能,則輸入端J應(yīng)接工,K應(yīng)接1。4、D觸發(fā)器的輸入端子有,個(gè),具有置0和置1的功能。5、時(shí)序邏輯電路的輸出不僅取決于 輸入的狀態(tài),還與電路已存的現(xiàn)態(tài)有關(guān)。6、組合邏輯電路的基本單元是 門申,路,時(shí)序邏輯電路的基本單元是 觸發(fā)器。7、觸發(fā)器的邏輯功能通??捎锰卣鞣匠?、功能真值表、狀態(tài)圖和時(shí)序圖四種方法來(lái)描述。8、JK觸發(fā)器的次態(tài)方程為Qn1JTKQn;D觸發(fā)器的次態(tài)方程為Qn1Dn。9、寄存器可分為數(shù)碼寄存器和移位寄存器,集成74LS194屬于四位雙向移位寄存器。用四位移位寄存器構(gòu)成環(huán)行計(jì)數(shù)器時(shí), 有效狀態(tài)共有工個(gè);若構(gòu)成扭環(huán)計(jì)數(shù)器時(shí),其有效狀態(tài)是且個(gè)。10、構(gòu)成一個(gè)六進(jìn)制計(jì)數(shù)器最少要采用 三位觸發(fā)器,這時(shí)構(gòu)成的電路有上個(gè)有效狀態(tài),2個(gè)無(wú)效狀態(tài)。11、施密特觸發(fā)器具有回差特性,主要用于脈沖波形的 產(chǎn)生和變換。12、74LS161是一個(gè)16個(gè)管腳的集成計(jì)數(shù)器,用它構(gòu)成任意進(jìn)制的計(jì)數(shù)器時(shí),通??刹捎梅答伹辶惴ê头答侇A(yù)置法。二、判斷題(每小題1分,共10分)TOC\o"1-5"\h\z1、僅具有保持和翻轉(zhuǎn)功能的觸發(fā)器是 RS觸發(fā)器。 (錯(cuò))2、使用3個(gè)觸發(fā)器構(gòu)成的1t數(shù)器最多有8個(gè)有效狀態(tài)。 (對(duì))3、同步時(shí)序邏輯電路中各觸發(fā)器的時(shí)鐘脈沖CP不一定相同。 (錯(cuò))4、利用一個(gè)74LS90可以構(gòu)成一個(gè)十二進(jìn)制的計(jì)數(shù)器。 (錯(cuò))5、用移位寄存器可以構(gòu)成8421BCD碼計(jì)數(shù)器。 (錯(cuò))6、555電路的輸出只能出現(xiàn)兩個(gè)狀態(tài)穩(wěn)定的邏輯電平之一。 (對(duì))7、施密特觸發(fā)器的作用就是利用其回差特性穩(wěn)定電路。 (錯(cuò))8、莫爾型時(shí)序邏輯電路中只有觸發(fā)器而沒(méi)有門電路。 (對(duì))9、十進(jìn)制計(jì)數(shù)器是用十進(jìn)制數(shù)碼“0?9”進(jìn)行計(jì)數(shù)的。 (錯(cuò))10、利用集成計(jì)數(shù)器芯片的預(yù)置數(shù)功能可獲得任意進(jìn)制的計(jì)數(shù)器。 (對(duì))三、選擇題(每小題2分,共20分)1、描述時(shí)序邏輯電路功能的兩個(gè)必不可少的重要方程式是(B)。A、次態(tài)方程和輸出方程 B、次態(tài)方程和驅(qū)動(dòng)方程C、驅(qū)動(dòng)方程和特性方程 D、驅(qū)動(dòng)方程和輸出方程2、由與非門組成的基本RS觸發(fā)器不允許輸入的變量組合 SR為(A)。A、00 B、01 C、10 D、113、按各觸發(fā)器的狀態(tài)轉(zhuǎn)換與時(shí)鐘輸入 CP的關(guān)系分類,計(jì)數(shù)器可為(A)計(jì)數(shù)器。A、同步和異步 B、加計(jì)數(shù)和減計(jì)數(shù) C、二進(jìn)制和十進(jìn)制4、按計(jì)數(shù)器的進(jìn)位制或循環(huán)模數(shù)分類,計(jì)數(shù)器可為(C)計(jì)數(shù)器。A、同步和異步 B、加計(jì)數(shù)、減計(jì)數(shù)C、二進(jìn)制、十進(jìn)制或任意進(jìn)制5、四位移位寄存器構(gòu)成扭環(huán)形計(jì)數(shù)器是(A)計(jì)數(shù)器。A、四進(jìn)制 B、八進(jìn)制 C、十六進(jìn)制6、存在空翻問(wèn)題的觸發(fā)器是(B)A、D觸發(fā)器 B、鐘控RS觸發(fā)器C、主從JK觸發(fā)器D、維持阻塞D觸發(fā)器7、利用中規(guī)模集成計(jì)數(shù)器構(gòu)成任意進(jìn)制計(jì)數(shù)器的方法是( B)A、復(fù)位法 B、預(yù)置數(shù)法 C、級(jí)聯(lián)復(fù)位法8、不產(chǎn)生多余狀態(tài)的計(jì)數(shù)器是(A)。A、同步預(yù)置數(shù)計(jì)數(shù)器 B、異步預(yù)置數(shù)計(jì)數(shù)器 C、復(fù)位法構(gòu)成的計(jì)數(shù)器9、數(shù)碼可以并行輸入、并行輸出的寄存器有( C)A、移位寄存器 B、數(shù)碼寄存器 C、二者皆有10、改變555定時(shí)電路的電壓控制端CO的電壓值,可改變(C)A、555定時(shí)電路的高、低輸出電平 B、開關(guān)放電管的開關(guān)電平C、比較器的閾值電壓 D、置“0”端R的電平值四、簡(jiǎn)述題(共10分)TOC\o"1-5"\h\z1、時(shí)序邏輯電路和組合邏輯電路的區(qū)別有哪些?( 2分)答:主要區(qū)別有兩點(diǎn):時(shí)序邏輯電路的基本單元是觸發(fā)器, 組合邏輯電路的基本單元是門電路;時(shí)序邏輯電路的輸出只與現(xiàn)時(shí)輸入有關(guān),不具有記憶性,組合邏輯電路的輸出不僅和現(xiàn)時(shí)輸入有關(guān),還和現(xiàn)時(shí)狀態(tài)有關(guān),即具有記憶性2、何謂“空翻”現(xiàn)象?抑制“空翻”可采取什么措施?( 3分)答:在一個(gè)時(shí)鐘脈沖為“1”期間,觸發(fā)器的輸出隨輸入發(fā)生多次變化的現(xiàn)象稱為 “空翻”??辗斐捎|發(fā)器工作的不可靠, 為抑制空翻,人們研制出了邊沿觸發(fā)方式的主從型JK觸發(fā)器和維持阻塞型的D觸發(fā)器等等。這些觸發(fā)器由于只在時(shí)鐘脈沖邊沿到來(lái)時(shí)發(fā)生翻轉(zhuǎn),從而有效地抑制了空翻現(xiàn)象。3、試述時(shí)序邏輯電路的分析步驟。 (3分)答:時(shí)序邏輯電路的分析步驟一般有如下幾點(diǎn):①確定時(shí)序邏輯電路的類型。根據(jù)電路中各位觸發(fā)器是否共用一個(gè)時(shí)鐘脈沖 CP觸發(fā)電路,判斷電路是同步時(shí)序邏輯電路還是異步時(shí)序邏輯電路。若電路中各位觸發(fā)器共用一個(gè)時(shí)鐘脈沖 CP觸發(fā),為同步,時(shí)序邏輯電路;若各位觸發(fā)器的 CP脈沖端子不同,就為異步,時(shí)序邏輯電路;根據(jù)時(shí)序邏輯電路除CP端子外是否還有輸入信號(hào)判斷電路是米萊型還是莫爾型,如有其它輸入信號(hào)端子時(shí),為米萊型時(shí)序邏輯電路,否則為莫爾型時(shí)序邏輯電路。②根據(jù)已知時(shí)序邏輯電路,分別寫出相應(yīng)的驅(qū)動(dòng)方程、次態(tài)方程、輸出方程(注:莫爾型時(shí)序邏輯電路沒(méi)有輸出方程) ,當(dāng)所分析電路屬于異步時(shí)序邏輯電路, 還需要寫出各位觸發(fā)器的時(shí)鐘方程。③根據(jù)次態(tài)方程、時(shí)鐘方程或輸出方程,填寫狀態(tài)轉(zhuǎn)換真值表或狀態(tài)轉(zhuǎn)換圖。④根據(jù)分析結(jié)果和轉(zhuǎn)換真值表(或狀態(tài)轉(zhuǎn)換圖) ,得出時(shí)序邏輯電路的邏輯功能。

4、試述米萊型時(shí)序邏輯電路和莫爾型時(shí)序邏輯電路的最大區(qū)別有哪些?( 2分)答:米萊型時(shí)序邏輯電路和莫爾型時(shí)序邏輯電路的最大區(qū)別就是米萊型電路不僅含有觸發(fā)器,而且還含有其它類型的輸入端子和門電路,而莫爾型電路僅含有觸發(fā)器。五、分析計(jì)算題(共40分)1、試用74LS161集成芯片構(gòu)成十二進(jìn)制計(jì)數(shù)器。 要求采用反饋預(yù)置法實(shí)現(xiàn)。(7分)解:用74LS161集成芯片構(gòu)成十二進(jìn)制計(jì)數(shù)器的電路如下圖所示:!T!T8-56。60寸~C「PNLSlbl Wo-2、電路及時(shí)鐘脈沖、輸入端D的波形如圖10-43所示,設(shè)起始狀態(tài)為“000”。試cpC cpC 1 “ ■> '圖10-43檢測(cè)題10.5.2邏輯圖畫出各觸發(fā)器的輸出時(shí)序圖,并說(shuō)明電路的功能。 (10分)解:分析:(1)電路為同步的米萊型時(shí)序邏輯電路;(2)各觸發(fā)器的驅(qū)動(dòng)方程:J1=DK1=Dj2=Q1nK2=Q1nj3=Q1nK3=Q;各觸發(fā)器的次態(tài)方程:Q1n1Dn Q2n1Q1n Q3n1Q21(3)根據(jù)上述方程,寫出相應(yīng)的邏輯功能真值表:CPDQ1nQ2nQ3nQ1n+1Qn+1Qn+11J100000 0 02J10001 0 03J101000 1 0

4J00100015J00010003、寫出圖10-44邏輯圖中各電路的次態(tài)方程。 (每圖3、寫出圖10-44邏輯圖中各電路的次態(tài)方程。 (每圖3分,共18分)解:由狀態(tài)轉(zhuǎn)換真值表畫出狀態(tài)轉(zhuǎn)換圖:圖10-44檢測(cè)題10.5.3邏輯圖解:由狀態(tài)轉(zhuǎn)換真值表畫出狀態(tài)轉(zhuǎn)換圖:Q2nQ1nQ0nQ2n+1Q1n+1Q0n+1000010001100010101011010100010101110110010111011解:(a)圖:Qn1 A (b)圖:Qn1Dn (c)圖:Qn1 Qn(d)圖:Qn1 Qn (e)圖:Qn1 Q^n (f)圖:Qn1 Qn4、根據(jù)表10-14所示狀態(tài)轉(zhuǎn)換真值表畫出狀態(tài)轉(zhuǎn)換圖和有效循環(huán)波形圖。 (5分)表10-14 狀態(tài)轉(zhuǎn)換真值表有效循環(huán)是010—101―110—010,波形圖如下:第」1章…檢狽他(共一8。分一,...100.分鐘).一、填空題:(每空0.5分,共23分)

1、一個(gè)存儲(chǔ)矩陣有64行、64列,則存儲(chǔ)容量為4096個(gè)存儲(chǔ)單元。2、存儲(chǔ)器容量的擴(kuò)展方法通常有妄_擴(kuò)展、立擴(kuò)展和字、位同時(shí)擴(kuò)展三種方式。3、可編程邏輯器件PLD一般由輸入緩沖、與陣列、或陣列、輸出緩沖等四部分電路組成。按其陣列和輸出結(jié)構(gòu)的不同可分為 PLA、PAL和GAL等基本類型。4、計(jì)算機(jī)中的內(nèi)存儲(chǔ)器和高速緩沖存儲(chǔ)器統(tǒng)稱主存,CPU可直接對(duì)主存進(jìn)行訪問(wèn)。內(nèi)存儲(chǔ)器一般由半導(dǎo)體存儲(chǔ)器構(gòu)成,通常裝在計(jì)算機(jī) 主板上,存取速度快,但容量有限;高速緩沖存儲(chǔ)器位于內(nèi)存與CPU之間,一般用來(lái)解決存取速度與存儲(chǔ)容量之間的矛盾,可提高整個(gè)系統(tǒng)的運(yùn)行速度。5、計(jì)算機(jī)內(nèi)存使用的類型主要是 隨機(jī)存取存儲(chǔ)器和可編程邏輯器件。按其存儲(chǔ)信息的功能可分為只讀存儲(chǔ)器ROM和隨隨機(jī)存取存儲(chǔ)器RAM兩大類。6、GAL16V8主要有簡(jiǎn)單型、復(fù)雜型、寄存器型三種工作模式。7、PAL的與陣列可編程.或陣列固定:PLA的與陣列可編程,或陣列可編程;GAL的與陣列可編程,或陣列固定。8、存儲(chǔ)器的主要技術(shù)指標(biāo)有 存儲(chǔ)容量、存取速度、功耗、可靠性和集成度等。9、RAM一要包,括地址譯碼器、存儲(chǔ)矩陣和讀/寫控制電路等部分。10、當(dāng)RAM中的片選信號(hào)CS=T時(shí),RAM被禁止讀寫,處于保持狀態(tài);當(dāng)CS= "0”時(shí),RAM可在讀/寫控制輸入R/W的作用下作讀出或?qū)懭氩僮鳌?1、ROM按照存儲(chǔ)信息寫入方式的不同可分為 固定ROM、可編而g的PROM、可光擦除可編程的EPROM和可申擦除可編程的E2PROM。12、目前使用的EPROM可多次寫入的存儲(chǔ)單元是在MOS管中置入浮置柵的方法實(shí)現(xiàn)的。、判斷題(每小題1分,共7分)TOC\o"1-5"\h\z1、可編程邏輯器件的寫入電壓和正常工作電壓相同。 (錯(cuò))2、GAL可實(shí)現(xiàn)時(shí)序邏輯電路的功能,也可實(shí)現(xiàn)組合邏輯電路的功能。 (對(duì))3、RAM的片選信號(hào)CS="0”時(shí)被禁止讀寫。 (錯(cuò))4、EPROM是采用浮柵技術(shù)工作的可編程存儲(chǔ)器。 (對(duì))5、PLA的與陣列和或陣列都可以根據(jù)用戶的需要進(jìn)行編程。 (對(duì))6、存儲(chǔ)器的容量指的是存儲(chǔ)器所能容納的最大字節(jié)數(shù)。 (對(duì))7、1024X1位的RAM中,每個(gè)地址中只有1個(gè)存儲(chǔ)單元。 (對(duì))三、選擇題(每小題2分,共20分)1、圖11-15輸出端表示的邏輯關(guān)系為(A)。圖11-15A、ACD B、圖11-15C、B D、B2、利用電容的充電來(lái)存儲(chǔ)數(shù)據(jù),由于電路本身總有漏電,因此需定期不斷補(bǔ)充充電(刷新)才能保持其存儲(chǔ)的數(shù)據(jù)的是(B)A、靜態(tài)RAM的存儲(chǔ)單元 B、動(dòng)態(tài)RAM的存儲(chǔ)單元3、關(guān)于存儲(chǔ)器的敘述,正確的是(A)A、存儲(chǔ)器是隨機(jī)存儲(chǔ)器和只讀存儲(chǔ)器的總稱B、存儲(chǔ)器是計(jì)算機(jī)上的一種輸入輸出設(shè)備C、計(jì)算機(jī)停電時(shí)隨機(jī)存儲(chǔ)器中的數(shù)據(jù)不會(huì)丟失4、一片容量為1024字節(jié)X4位的存儲(chǔ)器,表示有(C)個(gè)存儲(chǔ)單元。A、1024 B、4 C、4096 D、85、一片容量為1024字節(jié)X4位的存儲(chǔ)器,表示有(A)個(gè)地址。A、1024 B、4 C、4096 D、86、只能讀出不能寫入,但信息可永久保存的存儲(chǔ)器是(A)A、ROM B、RAM C、PRAM7、ROM中譯碼矩陣固定,且可將所有輸入代碼全部譯出的是(C)。A、ROM B、RAM C、完全譯碼器8、動(dòng)態(tài)存儲(chǔ)單元是靠(B)的功能來(lái)保存和記憶信息的。A、自保持 B、柵極存儲(chǔ)電荷9、利用雙穩(wěn)態(tài)觸發(fā)器存儲(chǔ)信息的 RAM叫(B)RAM。A、動(dòng)態(tài) B、靜態(tài)10、在讀寫的同時(shí)還需要不斷進(jìn)行數(shù)據(jù)刷新的是(A)存儲(chǔ)單元。A、動(dòng)態(tài) B、靜態(tài)四、簡(jiǎn)答題:(10分)1、現(xiàn)有(1024BX4)RAM集成芯片一個(gè),該RAM有多少個(gè)存儲(chǔ)單元?有多少條地址線?該RAM含有多少個(gè)字?其字長(zhǎng)是多少位?訪問(wèn)該RAM時(shí),每次會(huì)選中幾個(gè)存儲(chǔ)單元?答:該RAM集成芯片有4096個(gè)存儲(chǔ)單元;地址線為10根;含有1024個(gè)字,字長(zhǎng)是4位;訪問(wèn)該RAM時(shí),每次會(huì)選中4個(gè)存儲(chǔ)單元。五、計(jì)算題:(每小題10分,共20分)1、試用ROM實(shí)現(xiàn)下面多輸出邏輯函數(shù)。YABCABC2ABCDBCDABCD3ABCDABCD4ABCDABCD

解:Y1ABCABC與陣列或陣列與陣列或陣列與陣列或陣列與陣列或陣列A*一 |_1** 11pBI kt L與陣歹UiHP t uC1rj-k *t ,t li?LUY1 3( *E 或陣列Y2ABCDBCDABCDABCDABCDABCDABCDA hj-口b [1.lbJ餐*B >1; $1E >fLFt 3f一與陣列CL¥—卜.Lr-x_1JI JtrLD1a?L1 >f?■Je *f* 3e t*£ 3fY2 1Y3ABCDAlBCDJ£ J或陣列2、試用1KBX1位白R(shí)RAM擴(kuò)展成1KBX4位的存儲(chǔ)器。說(shuō)明需要幾片如圖11-16所示的RAM,畫出接線圖。解:用1KBX1位的RAM擴(kuò)展成1KBX4位的存儲(chǔ)器,I/O1024X1圖11-16需用4片如圖11-16所示的RAMI/O1024X1圖11-16I/O。I/O1I/O。I/O1I/O2I/O3第一.12JL檢測(cè)題一…一(共30分.2…1Q0..W)...一、填空題:(每空0.5分,共21分)1、DAC電路的作用是將輸入的數(shù)字量轉(zhuǎn)換成與數(shù)字量成正比的輸出模擬 量。ADC電路的作用是將輸入的模擬量轉(zhuǎn)換成與其成正比的輸出數(shù)字量。2、DAC電路的主要技術(shù)指標(biāo)有 分辨率、絕對(duì)精度和非線性度及建立時(shí)間等;ADC電路的主要技術(shù)指標(biāo)有相對(duì)精度、分辨率和轉(zhuǎn)換速度等。3、DAC通常由參考電壓,譯碼電路和電子開關(guān)三個(gè)基本部分組成。為了將模擬電流轉(zhuǎn)換成模擬電壓,通常在輸出端外加 運(yùn)算放大器。4、按解碼網(wǎng)絡(luò)結(jié)構(gòu)的不同,DAC可分為R-2RT形電阻網(wǎng)絡(luò)、R-2R侄jT形電阻網(wǎng)絡(luò)和權(quán)電阻網(wǎng)絡(luò)DAC等。按模擬電子開關(guān)電路的不同,DAC又可分為CMOS開關(guān)型和雙極型開關(guān)型。5、模數(shù)轉(zhuǎn)換的量化方式有 四舍五入法和舍尾取整法兩種。6、在模/數(shù)轉(zhuǎn)換過(guò)程中,只能在一系列選定的瞬間對(duì)輸入模擬量 采樣后再轉(zhuǎn)換為輸出的數(shù)字量,通過(guò)采樣、保持、量化和編碼四個(gè)步驟完成。7、雙積分型ADC換速度較慢, 逐次逼近型ADC轉(zhuǎn)換速度高。逐次逼近型ADC內(nèi)部有數(shù)模轉(zhuǎn)換器,因此轉(zhuǎn)換諫度快。倒T型電阻網(wǎng)絡(luò)DAC中的電阻只有R和2R兩種.與權(quán)百阻網(wǎng)絡(luò)完全不同。而且在這種DAC轉(zhuǎn)換器中又采用了高速電子開關(guān),所以轉(zhuǎn)換速度很高。10、ADC0809采用CMOS工藝制成的_8_位ADC,內(nèi)部采用逐次比較結(jié)構(gòu)形式。DAC0832采用的是CMOS工藝制成的雙列直插式單片_8_位數(shù)模轉(zhuǎn)換器。二、判斷題(每小題1分,共9分)TOC\o"1-5"\h\z1、DAC的輸入數(shù)字量的位數(shù)越多,分辯能力越低。 (錯(cuò))2、原則上說(shuō),R-2R倒T形電阻網(wǎng)絡(luò)DAC輸入和二進(jìn)制位數(shù)不受限制。 (對(duì))3、若要減小量化誤差s,就應(yīng)在測(cè)量范圍內(nèi)增大量化當(dāng)量So (錯(cuò))

4、量化的兩種方法中舍尾取整法較好些。 (錯(cuò))5、ADC0809二進(jìn)制數(shù)據(jù)輸出是三態(tài)的,允許直接連 CPU的數(shù)據(jù)總線。(對(duì))6、逐次比較型模數(shù)轉(zhuǎn)換器轉(zhuǎn)換速度較慢。 (錯(cuò))7、雙積分型ADC中包括數(shù)/模轉(zhuǎn)換器,因此轉(zhuǎn)換速度較快。 (錯(cuò))8、8的數(shù)值越小,量化的等級(jí)越細(xì), A/D轉(zhuǎn)換器的位數(shù)就越多。 (對(duì))9、在滿刻度范圍內(nèi),偏離理想轉(zhuǎn)換特性的最大值稱為相對(duì)精度。 (錯(cuò))三、選擇題(每小題2分,共20分)1、ADC的轉(zhuǎn)換精度取決于(A)。A、分辯率 B、轉(zhuǎn)換速度 C、分辨率和轉(zhuǎn)換速度2、對(duì)于n位DAC的分辨率來(lái)說(shuō),可表示為(C)。1 1 1A、— B、—z-r C、———2n 2n-1 2n13、R-2R梯形電阻網(wǎng)絡(luò)DAC中,基準(zhǔn)電壓源Ur和輸出電壓uo的極性關(guān)系為(B)。A、同相 B、反相 C、無(wú)關(guān)fimax之間的關(guān)系是4、采樣保持電路中,采樣信號(hào)的頻率ffimax之間的關(guān)系是必須滿足(A)。A、fA、fs或fimaxB、fs<fimaxC、fs=fimaxn=3的二進(jìn)制數(shù),采用5、如果Ui=0?10V,Uimax=1V,若用ADCn=3的二進(jìn)制數(shù),采用四舍五入量化法,其量化當(dāng)量為( B)。A、1/8(V)B、2/15(V)C、1/4(V)6、DAC0832是屬于(A)網(wǎng)絡(luò)的DAC。A、R-2R倒T型電阻 B、T型電阻 C、權(quán)電阻7、和其它ADC相比,雙積分型ADC轉(zhuǎn)換速度(A)。A、較慢 B、很快 C、極慢8、如果ui=0?10V,Uimax=1V,若用ADC電路將它轉(zhuǎn)換成n=3的二進(jìn)制數(shù),采用四舍五入量化法

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論