《EDA技術(shù)與Verilog-HDL》第3版-第11章-DSP-Builder系統(tǒng)設(shè)計方法課件_第1頁
《EDA技術(shù)與Verilog-HDL》第3版-第11章-DSP-Builder系統(tǒng)設(shè)計方法課件_第2頁
《EDA技術(shù)與Verilog-HDL》第3版-第11章-DSP-Builder系統(tǒng)設(shè)計方法課件_第3頁
《EDA技術(shù)與Verilog-HDL》第3版-第11章-DSP-Builder系統(tǒng)設(shè)計方法課件_第4頁
《EDA技術(shù)與Verilog-HDL》第3版-第11章-DSP-Builder系統(tǒng)設(shè)計方法課件_第5頁
已閱讀5頁,還剩115頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

第11章

DSPBuilder系統(tǒng)設(shè)計方法第11章DSPBuilder系統(tǒng)設(shè)計方法111.1MATLAB/DSPBuilder及其設(shè)計流程11.1MATLAB/DSPBuilder及其設(shè)計流程211.2正弦信號發(fā)生器設(shè)計11.2正弦信號發(fā)生器設(shè)計311.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

1.打開MATLAB環(huán)境11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型411.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

2.建立工作庫

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型511.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

3.了解Simulink庫管理器11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型611.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

4.Simulink的模型文件

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型711.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

5.放置SignalCompiler11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型811.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

6.放置IncrementDecrement

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型911.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

7.設(shè)置IncCount11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型1011.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

8.放置正弦查找表(SinLUT)

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型1111.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

9.放置Delay模塊11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型1211.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

10.放置端口sinCtrl

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型1311.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

11.放置Product模塊11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型1411.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

12.放置輸出端口sinOt

13.設(shè)計文件存盤11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型1511.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

1.加入仿真步進模塊11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli1611.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

2.添加波形觀察模塊并設(shè)置參數(shù)

11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli1711.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

3.設(shè)置仿真激勵11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli1811.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

4.啟動仿真

11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli1911.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

5.設(shè)計成無符號數(shù)據(jù)輸出11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli2011.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

6.各模塊功能說明

11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli2111.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

6.各模塊功能說明

11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli2211.2正弦信號發(fā)生器設(shè)計11.2.3SignalCompiler使用方法

1.分析當(dāng)前的模型11.2正弦信號發(fā)生器設(shè)計11.2.3Signal2311.2正弦信號發(fā)生器設(shè)計11.2.3SignalCompiler使用方法

2.設(shè)置SignalCompiler

11.2正弦信號發(fā)生器設(shè)計11.2.3Signal2411.2正弦信號發(fā)生器設(shè)計11.2.4使用ModelSim進行RTL級仿真

11.2正弦信號發(fā)生器設(shè)計11.2.4使用Mode2511.2正弦信號發(fā)生器設(shè)計11.2.4使用ModelSim進行RTL級仿真

11.2正弦信號發(fā)生器設(shè)計11.2.4使用Mode2611.2正弦信號發(fā)生器設(shè)計11.2.5使用QuartusII實現(xiàn)時序仿真

11.2正弦信號發(fā)生器設(shè)計11.2.5使用Quar2711.2正弦信號發(fā)生器設(shè)計11.2.6硬件測試與硬件實現(xiàn)

11.2正弦信號發(fā)生器設(shè)計11.2.6硬件測試與硬2811.2正弦信號發(fā)生器設(shè)計11.2.6硬件測試與硬件實現(xiàn)

11.2正弦信號發(fā)生器設(shè)計11.2.6硬件測試與硬2911.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計3011.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計3111.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計3211.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計3311.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計3411.3DSPBuilder層次化設(shè)計

11.2.6硬件測試與硬件實現(xiàn)

11.3DSPBuilder層次化設(shè)計11.2.63511.4基于DSPBuilder的DDS設(shè)計11.4.1DDS模塊設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.43611.4基于DSPBuilder的DDS設(shè)計11.4.1DDS模塊設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.43711.4基于DSPBuilder的DDS設(shè)計11.4.1DDS模塊設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.43811.4基于DSPBuilder的DDS設(shè)計11.4.2FSK調(diào)制器設(shè)計

(1)直接調(diào)頻法。(2)頻率鍵控法。

11.4基于DSPBuilder的DDS設(shè)計11.43911.4基于DSPBuilder的DDS設(shè)計11.4.2FSK調(diào)制器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.44011.4基于DSPBuilder的DDS設(shè)計11.4.3正交信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.44111.4基于DSPBuilder的DDS設(shè)計11.4.3正交信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.44211.4基于DSPBuilder的DDS設(shè)計11.4.4數(shù)控移相信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.44311.4基于DSPBuilder的DDS設(shè)計11.4.5幅度調(diào)制信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.44411.4基于DSPBuilder的DDS設(shè)計11.4.5幅度調(diào)制信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.44511.5HIL硬件測試11.5HIL硬件測試4611.5HIL硬件測試1.首先完成一個Simulink模型設(shè)計11.5HIL硬件測試1.首先完成一個Simulink4711.5HIL硬件測試1.首先完成一個Simulink模型設(shè)計11.5HIL硬件測試1.首先完成一個Simulink4811.5HIL硬件測試2.通過DSPBuilder轉(zhuǎn)化成QuartusII的工程11.5HIL硬件測試2.通過DSPBuilder轉(zhuǎn)化4911.5HIL硬件測試3.用HIL模塊取代設(shè)計模型的所有電路11.5HIL硬件測試3.用HIL模塊取代設(shè)計模型的所有5011.5HIL硬件測試4.HIL模塊參數(shù)設(shè)置11.5HIL硬件測試4.HIL模塊參數(shù)設(shè)置5111.5HIL硬件測試4.HIL模塊參數(shù)設(shè)置11.5HIL硬件測試4.HIL模塊參數(shù)設(shè)置5211.5HIL硬件測試5.進行HIL硬件仿真11.5HIL硬件測試5.進行HIL硬件仿真53實驗與設(shè)計實驗11-1利用MATLAB/DSPBuilder設(shè)計基本電路模塊實驗與設(shè)計實驗11-1利用MATLAB/DSPBui54實驗與設(shè)計實驗11-1利用MATLAB/DSPBuilder設(shè)計基本電路模塊實驗與設(shè)計實驗11-1利用MATLAB/DSPBui55實驗與設(shè)計實驗11-2基于DSPBuilder的DDS應(yīng)用模型設(shè)計實驗與設(shè)計實驗11-2基于DSPBuilder的DD56實驗與設(shè)計實驗11-2基于DSPBuilder的DDS應(yīng)用模型設(shè)計實驗與設(shè)計實驗11-2基于DSPBuilder的DD57實驗與設(shè)計實驗11-2基于DSPBuilder的DDS應(yīng)用模型設(shè)計實驗與設(shè)計實驗11-2基于DSPBuilder的DD58實驗與設(shè)計實驗11-3HIL硬件環(huán)仿真實驗實驗與設(shè)計實驗11-3HIL硬件環(huán)仿真實驗59實驗與設(shè)計實驗11-3HIL硬件環(huán)仿真實驗實驗與設(shè)計實驗11-3HIL硬件環(huán)仿真實驗60第11章

DSPBuilder系統(tǒng)設(shè)計方法第11章DSPBuilder系統(tǒng)設(shè)計方法6111.1MATLAB/DSPBuilder及其設(shè)計流程11.1MATLAB/DSPBuilder及其設(shè)計流程6211.2正弦信號發(fā)生器設(shè)計11.2正弦信號發(fā)生器設(shè)計6311.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

1.打開MATLAB環(huán)境11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型6411.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

2.建立工作庫

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型6511.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

3.了解Simulink庫管理器11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型6611.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

4.Simulink的模型文件

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型6711.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

5.放置SignalCompiler11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型6811.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

6.放置IncrementDecrement

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型6911.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

7.設(shè)置IncCount11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型7011.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

8.放置正弦查找表(SinLUT)

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型7111.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

9.放置Delay模塊11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型7211.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

10.放置端口sinCtrl

11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型7311.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

11.放置Product模塊11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型7411.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型

12.放置輸出端口sinOt

13.設(shè)計文件存盤11.2正弦信號發(fā)生器設(shè)計11.2.1建立設(shè)計模型7511.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

1.加入仿真步進模塊11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli7611.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

2.添加波形觀察模塊并設(shè)置參數(shù)

11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli7711.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

3.設(shè)置仿真激勵11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli7811.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

4.啟動仿真

11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli7911.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

5.設(shè)計成無符號數(shù)據(jù)輸出11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli8011.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

6.各模塊功能說明

11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli8111.2正弦信號發(fā)生器設(shè)計11.2.2Simulink模型仿真

6.各模塊功能說明

11.2正弦信號發(fā)生器設(shè)計11.2.2Simuli8211.2正弦信號發(fā)生器設(shè)計11.2.3SignalCompiler使用方法

1.分析當(dāng)前的模型11.2正弦信號發(fā)生器設(shè)計11.2.3Signal8311.2正弦信號發(fā)生器設(shè)計11.2.3SignalCompiler使用方法

2.設(shè)置SignalCompiler

11.2正弦信號發(fā)生器設(shè)計11.2.3Signal8411.2正弦信號發(fā)生器設(shè)計11.2.4使用ModelSim進行RTL級仿真

11.2正弦信號發(fā)生器設(shè)計11.2.4使用Mode8511.2正弦信號發(fā)生器設(shè)計11.2.4使用ModelSim進行RTL級仿真

11.2正弦信號發(fā)生器設(shè)計11.2.4使用Mode8611.2正弦信號發(fā)生器設(shè)計11.2.5使用QuartusII實現(xiàn)時序仿真

11.2正弦信號發(fā)生器設(shè)計11.2.5使用Quar8711.2正弦信號發(fā)生器設(shè)計11.2.6硬件測試與硬件實現(xiàn)

11.2正弦信號發(fā)生器設(shè)計11.2.6硬件測試與硬8811.2正弦信號發(fā)生器設(shè)計11.2.6硬件測試與硬件實現(xiàn)

11.2正弦信號發(fā)生器設(shè)計11.2.6硬件測試與硬8911.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計9011.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計9111.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計9211.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計9311.3DSPBuilder層次化設(shè)計

11.3DSPBuilder層次化設(shè)計9411.3DSPBuilder層次化設(shè)計

11.2.6硬件測試與硬件實現(xiàn)

11.3DSPBuilder層次化設(shè)計11.2.69511.4基于DSPBuilder的DDS設(shè)計11.4.1DDS模塊設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.49611.4基于DSPBuilder的DDS設(shè)計11.4.1DDS模塊設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.49711.4基于DSPBuilder的DDS設(shè)計11.4.1DDS模塊設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.49811.4基于DSPBuilder的DDS設(shè)計11.4.2FSK調(diào)制器設(shè)計

(1)直接調(diào)頻法。(2)頻率鍵控法。

11.4基于DSPBuilder的DDS設(shè)計11.49911.4基于DSPBuilder的DDS設(shè)計11.4.2FSK調(diào)制器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.410011.4基于DSPBuilder的DDS設(shè)計11.4.3正交信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.410111.4基于DSPBuilder的DDS設(shè)計11.4.3正交信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.410211.4基于DSPBuilder的DDS設(shè)計11.4.4數(shù)控移相信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.410311.4基于DSPBuilder的DDS設(shè)計11.4.5幅度調(diào)制信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.410411.4基于DSPBuilder的DDS設(shè)計11.4.5幅度調(diào)制信號發(fā)生器設(shè)計

11.4基于DSPBuilder的DDS設(shè)計11.410511.5HIL硬件測試11.5HIL硬件測試10611.5HIL硬件測試1.首先完成一個Simulink模型設(shè)計11.5HIL硬件測試1.首先完成一個Si

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論