半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第1頁
半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第2頁
半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第3頁
半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第4頁
半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第5頁
已閱讀5頁,還剩27頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

經(jīng)典word整理文檔,僅參考,雙擊此處可刪除頁眉頁腳。本資料屬于網(wǎng)絡(luò)整理,如有侵權(quán),請聯(lián)系刪除,謝謝!.activeregion有源區(qū)2.activecomponent有源器件3.Anneal退火4.atmosphericpressureCVD(APCVD)常壓化學(xué)氣相淀積5.BEOL〔生產(chǎn)線〕后端工序6.BiCMOS雙極CMOS7.bondingwire焊線,引線8.BPSG硼磷硅玻璃9.channellength溝道長度10.chemicalvapordeposition(CVD)化學(xué)氣相淀積11.chemicalmechanicalplanarization(CMP)化學(xué)機(jī)械平坦化12.damascene大馬士革工藝淀積14.diffusion擴(kuò)散15.dopantconcentration摻雜濃度16.dryo*idation干法氧化17.epita*iallayer外延層18.etchrate刻蝕速率制造20.gateo*ide柵氧化硅21.ICreliability集成電路可靠性.>.22.interlayerdielectric層間介質(zhì)〔ILD〕23.ionimplanter離子注入機(jī)24.magnetronsputtering磁控濺射25.metalorganicCVD(MOCVD)金屬有機(jī)化學(xué)氣相淀積26.pcboard印刷電路板27.plasmaenhancedCVD(PECVD)等離子體增強(qiáng)CVD28.polish拋光29.RFsputtering射頻濺射30.silicononinsulator絕緣體上硅〔SOI〕1.什么叫集成電路?寫出集成電路開展的五個時代及晶體管的數(shù)量?(15分)集成電路:將多個電子元件集成在一塊襯底上,完成一定的電路或系統(tǒng)功能。集成電路芯片/元件數(shù)無集成1小規(guī)模(SSI)2到50產(chǎn)業(yè)周期1960年前20世紀(jì)60年代前期中規(guī)模(MSI)50到5000大規(guī)模(LSI)5000到10萬超大規(guī)模(VLSI)10萬到100萬甚大規(guī)模(ULSI)大于100萬20世紀(jì)60年代到70年代前期20世紀(jì)70年代前期到后期20世紀(jì)70年代后期到80年代后期20世紀(jì)90年代后期到現(xiàn)在2.寫出IC制造的5個步驟?(15分)Waferpreparation(硅片準(zhǔn)備)Waferfabrication(硅片制造)Wafertest/sort(硅片測試和揀選)Assemblyandpackaging(裝配和封裝)Finaltest(終測)3.寫出半導(dǎo)體產(chǎn)業(yè)開展方向?什么是摩爾定律?(15分)——提高芯片可靠性——嚴(yán)格控制污染。.>.降低本錢——線寬降低、晶片直徑增加。摩爾定律指:IC的集成度將每隔一年翻一番。1975年被修改為:IC的集成度將每隔一年半翻一番。4.什么是特征尺寸CD?(10分)最小特征尺寸,稱為關(guān)鍵尺寸〔CriticalDimension,CD〕CD常用于衡量工藝難易的標(biāo)志。5.什么是Moremoore定律和MorethanMoore定律?(10分)"MoreMoore〞指的是芯片特征尺寸的不斷縮小。從幾何學(xué)角度指的是為了提高密度、性能和可靠性在晶圓水平和垂直方向上的特征尺寸的繼續(xù)縮小。與此關(guān)聯(lián)的3D構(gòu)造改善等非幾何學(xué)工藝技術(shù)和新材料的運(yùn)用來影響晶圓的電性能。"MoreThanMoore〞指的是用各種方法給最終用戶提供附加價值,不一定要縮小特征尺寸如從系統(tǒng)組件級向3D集成或準(zhǔn)確的封裝級(SiP)或芯片級(SoC)轉(zhuǎn)移。6.名詞解釋:high-k;low-k;Fabless;Fablite;IDM;Foundry;Chipless(20分)high-k:高介電常數(shù)。low-k:低介電常數(shù)。Fabless:IC設(shè)計(jì)公司,只設(shè)計(jì)不生產(chǎn)。Fablite:輕晶片廠,有少量晶圓制造廠的IC公司。IDM:集成器件制造商(IDM-IntegratedDeviceManufactoryCo.),從晶圓之設(shè)計(jì)、制造到以自有品牌行銷全球皆一手包辦。Foundry:標(biāo)準(zhǔn)工藝加工廠或稱專業(yè)代工廠商。Chipless:既不生產(chǎn)也不設(shè)計(jì)芯片,而是設(shè)計(jì)IP內(nèi)核,授權(quán)給半導(dǎo)體公司使用。7.例舉出半導(dǎo)體產(chǎn)業(yè)的8種不同職業(yè)并簡要描述.(15分)故障查詢。運(yùn)行。3.設(shè)備工程師:從事確定設(shè)備設(shè)計(jì)參數(shù)和優(yōu)化硅片生產(chǎn)的設(shè)備性能。4.工藝工程師:分析制造工藝和設(shè)備的性能以確定優(yōu)化參數(shù)設(shè)置。5.實(shí)驗(yàn)室技師:從事開發(fā)實(shí)驗(yàn)室工作,建立并進(jìn)展試驗(yàn)。操作分析設(shè)備以確定在硅片制造過程中引起問題的根源。7.成品率提高工程師:收集并分析成品率及測試數(shù)據(jù)以提高硅片制造性能。工程設(shè)計(jì)支持。.>.答:最通常的半導(dǎo)體材料是硅。原因:1.硅的豐裕度;2.更高的融化溫度允許更高的工藝容限;3.更寬的工作溫度范圍;4.氧化硅的自然生成.使得集成電路的速度比由硅制成的電路更快。GaAs器件增進(jìn)的信號速度允許它們在通信系統(tǒng)中響應(yīng)高頻微波信號并準(zhǔn)確地把它們轉(zhuǎn)換實(shí)現(xiàn)隔離,不會產(chǎn)生電學(xué)性能的損失。答:去離子水:在半導(dǎo)體制造過程中廣泛使用的溶劑,在它里面沒有的PH值為7,既不是酸也不是堿,是中性的。它能夠溶解其他物質(zhì),包括許多離子化合物和供價化合物。當(dāng)水離,然后包圍離子,最后擴(kuò)散到液體中。.>.答:凈化間是硅片制造設(shè)備與外部環(huán)境隔離,免受諸如顆粒、金屬、廣泛的規(guī)程和實(shí)踐,以確保用于半導(dǎo)體制造的硅片生產(chǎn)設(shè)施免受玷污。于金屬導(dǎo)體的接觸區(qū),如果有氧化層的存在,將增加接觸電阻,減少甚至可能阻止電流流過。③對半導(dǎo)體性能和可靠性有很大的影響7間的空氣質(zhì)量級別,它是由凈化室空氣中的顆粒尺寸和密度表征的;.>.水:需要大量高質(zhì)量、超純?nèi)ルx子水,城市用水含有大量的沾污以致不能用于硅片生產(chǎn)。去離子水是硅片生產(chǎn)中用得最多的化學(xué)品〔5〕工藝用化學(xué)品:為了保證成功的器件成品率和性能,半導(dǎo)體工藝所用產(chǎn)設(shè)備是硅片生產(chǎn)中最大的顆粒來源。玷污。凈化級別起源于美國聯(lián)邦標(biāo)準(zhǔn)2009.如果凈化間級別僅用顆粒數(shù)來說明,例如1級凈化間,則只承受1個0.5um的顆粒。這意味著每立方英尺中尺寸等于或大于0.5um的顆粒最多允許一個。10000作臺則提供一個100級的生產(chǎn)環(huán)境。去除電活性鹽類的離子。18MΩ-cm電阻率級別下水被認(rèn)為已經(jīng)去離子化。.>.答:工業(yè)標(biāo)準(zhǔn)濕法清洗工藝稱為RCA清洗工藝,由美國無線電公司〔RCA〕于20世紀(jì)60年代提出。RCA濕法清洗由一系列有序的浸入2號標(biāo)準(zhǔn)清洗的化學(xué)配料為NH4OH/H2O2/H2O這三種化學(xué)物按1:1:5到濕法清洗主要通過氧化顆?;螂妼W(xué)排斥起作用。SC-2的組分是HCL/H2O2/H2O,按1:1:6到1:2:8的配比混合,用于去除硅片外表的金屬。改進(jìn)后的RCA清洗可在低溫下進(jìn)展,甚至低到45攝氏度清自然氧化層;(8)UPW清洗:清洗;(9)HCL/H2O2/H2O(SC-2):金屬;(10)UPW清洗:清洗;(11)HF/H2O:自然氧化層;(12)UPW清洗:清洗;(13)枯燥:枯燥哪些類型?每種類型各有什么特征?〔40答:分為三種,雙極集成電路,MOS集成電路,雙極-MOS〔BiMOS〕集成電路。雙極集成電路:采用的有源器件是雙極晶體管,特點(diǎn):速度高,驅(qū)動能力強(qiáng),但功耗大,集成能力低。MOS集成電路:采用的有源器件是MOS晶體管,特點(diǎn):輸入阻抗高,抗干擾能力強(qiáng),功耗小,集成度高。雙極-MOS〔BiMOS〕集成電路:同時包含雙極和MOS晶體管,特點(diǎn):綜合了速度高,驅(qū)動能力強(qiáng),抗干擾能力強(qiáng),功耗小,集成度高的優(yōu)點(diǎn),但制造工藝復(fù)雜。.>.有源元件?例舉出兩個有源元件的例子。〔30答:無源元件:在不需要外加電源的條件下,就可以顯示其特性的電子元件。這些元件無論如何和電源相連,都可以傳輸電流。如電阻,電容??刂齐娏鞣较颍煞糯笮盘?。如二極管,晶體管。CMOSASIC?〔30分晶體管〔MOSFET〕集成在一塊硅片上。使集成電路有功耗低,工作電壓范圍寬,邏輯擺幅大,使電路抗干擾能力強(qiáng),隔離柵構(gòu)造使CMOS器件的輸入電阻極大,從而使CMOS期間驅(qū)動同類邏輯門的能力比其他系列強(qiáng)得多。ASIC:〔ApplicationSpecificIntegratedCircuits〕專用集成電路,是指應(yīng)重量輕,功耗低,可靠性好,易于獲得高性能,保密性好,大批量應(yīng)用時顯著降低本錢。第一步:用碳加熱硅石來制備冶金級硅第二步:通過化學(xué)反響將冶金級硅提純以生成三氯硅烷第三步:利用西門子方法,通過三氯硅烷和氫氣反響來生產(chǎn)半導(dǎo)體級硅純度能到達(dá)99.99999999%裝.>.章硅片關(guān)鍵尺寸測量的主要工具是掃描電子顯微鏡〔10萬到30萬倍,這明顯高于光學(xué)顯微鏡,用掃描電子顯微鏡觀測硅ED*或FIB。TEM把加速和聚集的電子束投射到非常薄的樣品上,電子與樣品中的散射,散射角的大小與樣品的密度、厚度有關(guān),因此可以形成明暗不是惟一定量測量硅片上一些非常小特征尺寸的測量工具4是1托到10e-3高級真空:是高級真空的延伸,通過對真空腔的設(shè)計(jì)和材料的嚴(yán)格控制盡量減少不需要的氣體成分。.>.答:當(dāng)真空里的壓強(qiáng)減低時,氣體分子間的空間加大了,這成為氣體腔內(nèi)99.99%的原始空氣或其他成分,高級真空泵用來獲得壓力范圍10e-3托到10e-9托的高級和超高級真空。5生產(chǎn)過程中的5設(shè)計(jì)驗(yàn)證:描述、調(diào)在線參數(shù)測試:為了監(jiān)控工藝,在制作過程的早期〔前端〕進(jìn)展的產(chǎn)IC進(jìn)展。5答:五個進(jìn)展在線參數(shù)測試的理由為:(1〕鑒別工藝問題:硅片制造.>.確定可靠性與工藝條件的聯(lián)系時,進(jìn)展隨機(jī)的硅片級可靠性測試IC可靠性是指器件在其預(yù)期壽命內(nèi),在其使用環(huán)境中正常工作的概的環(huán)境中〔如吧溫度提高到85℃,提高偏置電壓〕給芯片加電并測小時,這是一種費(fèi)錢耗時的工作4在線參數(shù)測試的4自動測試設(shè)備,它控制測試過程〔4〕作為網(wǎng)絡(luò)主機(jī)或客戶機(jī)的計(jì)算機(jī):指導(dǎo)測試系統(tǒng)操作的計(jì)算機(jī)包括測試軟件算法、自動測試設(shè)備、故障診斷。測試:第一電學(xué)測試是.>.出的正確性。印刷電路板〔PCB〕又稱為底板或載體,用焊料將載有芯片的集成電統(tǒng)的接口。4兩種最廣泛使用的集成電路封裝材料是塑料封裝和陶瓷封裝6是DIP的替代品,用以減小集成電路組件本體所占據(jù)電路板的空間。.>.〔5〕具有J體〔LCC〕:是一種電極被管殼周圍包起來以保持低刨面的封裝形式77基座與電路板的共晶Sn/Pb被開發(fā)以集成電路芯片直接固定到具有其它SMT和PIH組件的基座TAB〕:是一種I/O〔CSP〕:一般定義是小于芯片占地面積1.2倍的集成電路封裝形式。I/O端得形成。66.>.2.>.①器件保護(hù)〔防止劃傷和污染〕,因sio2致密;②外表鈍化〔飽和懸掛鍵,降低界面態(tài);需一定厚度,降低漏電流等〕;.>.③用作絕緣介質(zhì)和隔離〔LOCOS,STI〕如:隔離〔如場氧,需要一定的厚度〕、④絕緣柵〔膜厚均勻,無電荷和雜質(zhì),需干氧氧化〕、多層布線絕緣層、電容介質(zhì)等;⑤選擇性擴(kuò)散摻雜的掩膜化學(xué)反響:Si+2H2O->SiO2+2H2水汽氧化與干氧氧化相比速度更快,因?yàn)樗魵獗妊鯕庠诙趸柚袛U(kuò)散更快、溶解度更高①干氧:Si+O2SiO2氧化速度慢,氧化層枯燥、致密,均勻性、重復(fù)性好,與光刻膠的粘附性好②水汽氧化:Si+H2OSiO2〔固〕+H2(氣)氧化速度快,氧化層疏松,均勻性差,與光刻膠的粘附性差③濕氧:氧氣攜帶水汽,故既有Si與氧氣反響,又有與水汽反響氧化速度、氧化質(zhì)量介于以上兩種方法之間摻雜物、晶體晶向、壓力、溫度、水蒸氣–界面陷阱電荷、可移動氧化物電荷工藝腔、硅片傳輸系統(tǒng)、氣體分配系統(tǒng)、尾氣系統(tǒng)、溫控系統(tǒng)成硅片傳輸系統(tǒng)在工藝腔中裝卸硅片,自動機(jī)械在片架臺、爐臺、裝片臺、冷卻臺之間移動氣體分配系統(tǒng)通過將正確的氣體通到爐管中來維持爐中氣氛控制系統(tǒng)控制爐子所有操作,如工藝時間和溫度控制、工藝步驟的順序、氣體種類、氣流速率、升降溫速率、裝卸硅片1.什么是薄膜?例舉并描述可承受的薄膜的8個特性。(15分)薄膜:指*一維尺寸遠(yuǎn)小于另外兩維上的尺寸的固體物質(zhì)。好的臺階覆蓋能力、高的深寬比填隙能力(>3:1).>.構(gòu)造完整和低應(yīng)力、好的粘附性〔防止分層、開裂致漏電〕2.例舉并描述薄膜生長的三個階段?!?0分〕〔1〕晶核形成別離的小膜層形成于襯底外表,是薄膜進(jìn)一步生長的根底。〔2〕凝聚成束形成(Si)島,且島不斷長大〔3〕連續(xù)成膜島束集合并形成固態(tài)的連續(xù)的薄膜淀積的薄膜可以是單晶〔如外延分〕多層金屬化:用來連接硅片上高密度器件的金屬層和絕緣層關(guān)鍵層:線條寬度被刻蝕為器件特征尺寸的金屬層。對于ULSI集成電路而言,特征尺寸的范圍在形成柵的多晶硅、柵氧以及距離硅片外表最近的金屬層。介質(zhì)層層間介質(zhì)〔ILD〕ILD-1:隔離晶體管和互連金屬層;隔離晶體管和外表雜質(zhì)。采用低k介質(zhì)作為層間介質(zhì),以減小時間延遲,增加速度。4.例舉淀積的5種主要技術(shù)?!?0分〕(2)蒸發(fā)(含MBE)〔3〕旋涂(SOG,SOD)5.描述CVD反響中的8個步驟〔15分〕。.>.1)質(zhì)量傳輸2)薄膜先驅(qū)物反響3)氣體分子擴(kuò)散4)先驅(qū)物吸附5)先驅(qū)物擴(kuò)散進(jìn)襯底6)外表反響7)副產(chǎn)物解吸8)副產(chǎn)物去除6.例舉高k介質(zhì)和低k介質(zhì)在集成電路工藝中的作用。〔10分〕〔1〕低k介質(zhì)須具備低泄漏電流、低吸水性、低應(yīng)力、高附著力、高硬度、高穩(wěn)定性、好的填隙能力,便于圖形制作和平坦化、耐酸堿以及低接觸電阻。研究較多的幾種無機(jī)低介電常數(shù)〔二〕高k介質(zhì)應(yīng)DRAMk漏電流。同時,降低工藝難度。有潛力的高k介質(zhì):Ta2O5,(BaSr)TiO3.7、名詞解釋:CVD、LPCVD、PECVD、VPE、BPSG?!矊⑦@些名詞翻譯成中文并做出解釋〕〔10分〕〔1〕CVD、化學(xué)氣相淀積〔ChemicalVaporDeposition〕是指利用熱程。〔2〕低壓CVD〔LPCVD〕裝片;爐子恒溫并對反響室抽真空到1.3Pa;充N2氣或其它惰性氣體進(jìn)展吹洗;再抽真空到1.3Pa;完成淀積;關(guān)閉所有氣流,反響室重新抽到1.3Pa;回充N2氣到常壓,取出硅片。.>.〔3〕等離子體增強(qiáng)CVD〔PECVD〕淀積溫度低,冷壁等離子體反響,產(chǎn)生顆粒少,需要少的清洗空間等等離子體輔助CVD的優(yōu)點(diǎn)?!?〕VPE氣相外延:硅片制造中最常用的硅外延方法是氣相外延,屬于CVD范疇。在溫度為800-1150℃的硅片外表通過含有所需化學(xué)物質(zhì)的氣體化合物,就可以實(shí)現(xiàn)氣相外延。這是一種摻硼的SiO2玻璃??刹捎肅VD方法〔SiH4+O2+PH3+B2H6,400oC~450oC〕來制備。BPSG與PSG〔磷硅玻璃〕一樣,在高溫下的流動性較好,廣泛用作為半導(dǎo)體芯片外表平坦性好的層間絕緣膜8、質(zhì)量輸運(yùn)限制CVD和反響速度限制CVD工藝的區(qū)別?〔10分〕1、質(zhì)量傳輸限制淀積速率淀積速率受反響物傳輸速度限制,即不能提供足夠的反響物到襯底外表,速率對溫度不敏感〔如高壓2、反響速度限制淀積速率淀積速率受反響速度限制,這是由于反響溫度或壓力過低(傳輸速率快),提供驅(qū)動反響的能量缺乏,反響速率低于反響物傳輸速度??梢酝ㄟ^加溫、加壓提高反響速度。9、采用LPCVDTEOS淀積的是什么膜?這層膜的優(yōu)點(diǎn)是什么?〔10分〕多晶硅薄膜用TEOS(正硅酸乙酯〕-臭氧方法淀積SiO2Si〔C2H5O4〕+8O3SiO2+10H2O+8CO2優(yōu)點(diǎn):a、低溫淀積;b、高的深寬比填隙能力;c、防止硅片外表和邊角損傷;.>.化成電連接7的間隙。4.刻印圖形/平坦化:為刻蝕過程中不刻蝕下層介質(zhì)的傳統(tǒng).>.成和應(yīng)力誘導(dǎo)腐蝕。P型硅及高濃度NSiO2〔7〕能輕易淀積在硅片上,可用濕法刻蝕而不影響下層薄膜。綜上所述,在硅IC制造業(yè)中,鋁和它的主要過程是兼容的,電阻低,可不加接觸層、粘附層和阻擋層等,工藝簡單,產(chǎn)品價格低廉。1.答:1.電阻率的減小。在20℃μΩμΩ-㎝,減少RC延遲,增加集成密度。更窄的線寬,允許更高密度的電路集成,這意味著需要更更少的工藝步驟。用大馬士革方法處理銅具有減少工藝步驟20%到30%的潛力?;旌???沙惺艿淖钃鯇咏饘俚母咎卣魇牵孩俸玫淖钃鯏U(kuò)散特性;②.>.抗電遷移;⑤膜薄和高溫下穩(wěn)定性好;⑥抗腐蝕和氧化。通常用作阻中,用于多層金屬化的普通難熔金屬有鈦、鎢、鉭、鉬、鈷和鉑。難和鋁之間的擴(kuò)散。定性的金屬化合物,并且在硅/難熔金屬的分界面具有低的電阻率。有源層的粘合劑。3、高溫穩(wěn)定性好,抗電遷移性能好4、可直接在藝兼容。答:在RF濺射系統(tǒng)中,等離子體是由RF場而非DC頻率通常為13.56MHz,加在靶電極的反面并通過電容耦合到前面。等離子體中的電子和離子都處在RF流,導(dǎo)致負(fù)電荷堆積在靶電極上。這些負(fù)電荷〔自由偏置產(chǎn)生〕吸引.>.與氬離子不同的場勢。加在硅片上的偏置引起氬原子直接轟擊硅片。RF偏置允許露在外面的硅片被刻蝕和清理。實(shí)際上,由于RF濺射系統(tǒng)的濺射產(chǎn)額不高,導(dǎo)致它的淀積速率低,因此應(yīng)用受到限制。有靶積速率,磁控濺射的概念需要開展PECVD刻蝕阻擋層淀積:厚250"的SiN刻蝕阻擋層被淀積在內(nèi)層氧化硅上。SiN蝕:光刻確定圖形、干法刻蝕通孔窗口進(jìn)入SiN中,刻蝕完成后去掉氧化硅淀積。在槽和通孔的底部及側(cè)壁用離子化的PCVD淀積鉭和氮化鉭擴(kuò)散層。CVDECD淀積銅填充,即填充通孔CMP去除額外的銅:用化學(xué)機(jī)械平坦去除額外的銅。.>.設(shè)備也常稱為拋光機(jī)。在一臺拋光機(jī)中,硅片放用旋轉(zhuǎn)運(yùn)動或軌道運(yùn)動1.解釋正性光刻和負(fù)性光刻的區(qū)別?〔第十三章〕為什么正膠是普遍使用的光刻膠?最常用的正膠是指哪些膠?〔第十五章〕〔分〕在曝光前已被硬化,它將留在硅片外表,作為后步工藝的保護(hù)層,不以正膠是普遍使用的光刻膠傳統(tǒng)的I線光刻膠,深紫外光刻膠5解釋什么是暗場掩模板?!驳谑隆场卜帧惩腹?例出光刻的個步驟,并對每一步做出簡要解釋?!驳谑隆场卜帧?>.第二步:旋轉(zhuǎn)涂膠,將硅片被固定在載片臺上,一定數(shù)量的液體光刻膠滴在硅片上,然后硅片旋轉(zhuǎn)得到一層均勻的光刻膠圖層第三步:軟烘,去除光刻膠中的溶劑第四步:對準(zhǔn)和曝光,把掩膜幅員形轉(zhuǎn)移到涂膠的硅片上100到110的熱板上進(jìn)展曝光后烘培第六步:顯影,在硅片外表光刻膠中產(chǎn)生圖形第七步:堅(jiān)膜烘培,揮發(fā)掉存留的光刻膠溶劑,提高光刻膠對硅片外表的粘附性第八步:顯影后檢查,檢查光刻膠圖形的質(zhì)量,找出有質(zhì)量問題的硅片,描述光刻膠工藝性能以滿足標(biāo)準(zhǔn)要求5在硅片制造中光刻膠的兩種目的是什么?〔第十三章〕〔分〕一,將掩膜幅員案轉(zhuǎn)移到硅片外表頂層的光刻膠中二,在后續(xù)工藝中,保護(hù)下面的材料45例舉并描出旋轉(zhuǎn)涂膠的個根本步驟〔第十三章〕〔分〕1,分滴,當(dāng)硅片靜止或者旋轉(zhuǎn)得非常緩慢時,光刻膠被分滴在硅片上2,旋轉(zhuǎn)鋪開,快速加速硅片的旋轉(zhuǎn)到一高的轉(zhuǎn)速使光刻膠伸展到整個硅片外表3,旋轉(zhuǎn)甩掉,甩去多余的光刻膠,在硅片上得到均勻的光刻膠膠膜覆蓋層.>.4,溶劑揮發(fā),以固定轉(zhuǎn)速繼續(xù)旋轉(zhuǎn)已涂膠的硅片,直至溶劑揮發(fā),光刻膠膠膜幾乎枯燥5描述曝光波長和圖像分辨率之間的關(guān)系〔第十四章〕〔分〕率就越高圖像就越準(zhǔn)確5例舉并描述光刻中使用的兩種曝光光源〔第十四章〕〔分〕240納米到500納米之間有用的紫外只存在與準(zhǔn)穩(wěn)定激發(fā)態(tài)8.光學(xué)光刻中影響圖像質(zhì)量的兩個重要參數(shù)是什么?〔第十四章〕分〕4.分辨率和焦深9.解釋掃描投影光刻機(jī)是怎樣工作的?掃描投影光刻機(jī)努力解決什么問題?〔第十四章〕〔分〕掃描投影光刻機(jī)的概念是利用反射鏡系統(tǒng)把有1:1圖像的整個掩膜且一致的通過窄紫外光束對硅片上的光刻膠曝光由于發(fā)生掃描運(yùn)動,的包括硅片上所有芯片的一倍掩膜版5光刻中采用步進(jìn)掃描技術(shù)獲得了什么好處?〔第十四章〕〔分〕.>.片,它還具有在整個掃描過程調(diào)節(jié)聚焦的能力11.〔分〕個硅片的芯片陣列并且通過單一曝光轉(zhuǎn)印圖形12.解釋光刻膠顯影。光刻膠顯影的目的是什么?〔第十五章〕)分光刻膠顯影是指用化學(xué)顯影液溶解由曝光造成的光刻膠的可溶解區(qū)域,其主要目的是把掩膜幅員形準(zhǔn)確復(fù)制到光刻膠中13.解釋光刻膠選擇比。要求的比例是高還是低?〔第十五章〕)分高,反響速度越快,所以要比例高7例舉出兩種光刻膠顯影方法。例舉出種光刻膠顯影參數(shù)?!驳谑逭隆场卜帧尺B續(xù)噴霧顯影,旋覆浸沒顯影顯影溫度,顯影時間,顯影液量,硅片洗盤,當(dāng)量濃度,清洗,排風(fēng).>.用于亞微米尺寸。濕法刻蝕中,液體化學(xué)試劑〔如酸、堿和溶劑等〕3刻蝕速率=△T/t〔A/min〕△T=去掉材料的厚度t=刻蝕所用的時間高的刻蝕速率,可以通過準(zhǔn)確控制刻蝕時間來控制刻蝕的厚度??涛g選擇比SR=EF/ErEF=被刻蝕材料的速率Er=掩蔽層材的刻蝕速率干法刻蝕的選擇比低高選擇比意味著只刻除想要刻去的那一層材料,一個高選擇比的刻蝕工藝不刻蝕下面一層材料并且保護(hù)的光刻膠也未被刻蝕。蝕的優(yōu)點(diǎn):1

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論