實(shí)驗(yàn)三-譯碼器與編碼器的設(shè)計(jì)與仿真_第1頁
實(shí)驗(yàn)三-譯碼器與編碼器的設(shè)計(jì)與仿真_第2頁
實(shí)驗(yàn)三-譯碼器與編碼器的設(shè)計(jì)與仿真_第3頁
實(shí)驗(yàn)三-譯碼器與編碼器的設(shè)計(jì)與仿真_第4頁
實(shí)驗(yàn)三-譯碼器與編碼器的設(shè)計(jì)與仿真_第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

實(shí)驗(yàn)三譯碼器與編碼器的設(shè)計(jì)與仿真實(shí)驗(yàn)?zāi)康模簩?shí)現(xiàn)譯碼器與編碼器的設(shè)計(jì)與仿真。二、實(shí)驗(yàn)內(nèi)容1.用邏輯圖設(shè)計(jì)3-8譯碼器,再用VHDL語言設(shè)計(jì)參數(shù)化的譯碼器;2.參照芯片74LS148的電路結(jié)構(gòu),用邏輯圖和VHDL語言設(shè)計(jì)8-3優(yōu)先編碼器。三、實(shí)驗(yàn)步驟。(一)、8-3編碼器、3-8譯碼器的邏輯圖及邏輯表達(dá)式。1.8-3編碼器:邏輯框圖:邏輯圖:2.3-8譯碼器:2.3-8譯碼器:邏輯框圖:邏輯圖:用VHDL語言設(shè)計(jì)8-3編碼器、參數(shù)化的譯碼器。1.8-3編碼器:libraryieee;useieee.std_logic_1164.all;entitybianmaqiisport(clk,clr:instd_logic;d:instd_logic_vector(7downto0);q:outstd_logic_vector(2downto0));endbianmaqi;architectureyofbianmaqiisbeginprocess(d)begincasediswhen"01111111"=>q<="000";when"10111111"=>q<="001";when"11011111"=>q<="010";when"11101111"=>q<="011";when"11110111"=>q<="100";when"11111011"=>q<="101";when"11111101"=>q<="110";when"11111110"=>q<="111";whenothers=>q<="111";endcase;endprocess;endy;2.3-8譯碼器:libraryIEEE;useIEEE.std_logic_1164.all;entityyimaqiisport(A:instd_logic_vector(2downto0);S1,S2,S3:instd_logic;Y:outstd_logic_vector(7downto0));endentity;architectureyimaqiofyimaqiissignals:std_logic_vector(2downto0);beginS<=S1&S2&S3;process(A,S)beginY<=(others=>'1');ifS="100"thencaseAiswhen"000"=>Y<="11111110";--0when"001"=>Y<="11111101";--1when"010"=>Y<="11111011";--2when"011"=>Y<="11110111";--3when"100"=>Y<="11101111";--4when"101"=>Y<="11011111";--5when"110"=>Y<="10111111";--6when"111"=>Y<="01111111";--7whenothers=>NULL;endcase;endif;endprocess;endarchitecture;實(shí)驗(yàn)仿真結(jié)果。1.8-3編碼器:2

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論