北航 胡曉光 數(shù)字電路課件之 PPTppt3_第1頁
北航 胡曉光 數(shù)字電路課件之 PPTppt3_第2頁
北航 胡曉光 數(shù)字電路課件之 PPTppt3_第3頁
北航 胡曉光 數(shù)字電路課件之 PPTppt3_第4頁
北航 胡曉光 數(shù)字電路課件之 PPTppt3_第5頁
已閱讀5頁,還剩76頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

第三章組合數(shù)字電路3-2組合電路的分析

3-3組合電路的設(shè)計(jì)

3-4常用組合集成邏輯電路

3-5競(jìng)爭(zhēng)與冒險(xiǎn)

3-1導(dǎo)論組合邏輯電路的定義邏輯電路按其功能分為:

組合邏輯電路和時(shí)序邏輯電路電路任一時(shí)刻的輸出狀態(tài)只決定于該時(shí)刻各輸入狀態(tài)的組合,而與電路的原狀態(tài)無關(guān)。組合電路就是由門電路組合而成,電路中沒有記憶單元,沒有反饋通路。3-1導(dǎo)論一、數(shù)制

十進(jìn)制數(shù)中,每一位有0—9十個(gè)數(shù)碼。計(jì)數(shù)規(guī)律:逢十進(jìn)一。任意一個(gè)十進(jìn)制數(shù)(S)10可以表示為(S)10=kn-110n-1+kn-210n-2+...+k0100+k-110-1+...+k-m10-m

其中,ki:0~9十個(gè)數(shù)碼中的任意一個(gè)

m、n:正整數(shù),n為整數(shù)位數(shù),m為小數(shù)位數(shù)

10:十進(jìn)制的基數(shù)10i:稱為第i位的權(quán)=Ki10ii=n–1–m1.十進(jìn)制【例如】(2001.9)10=2×103十0×102十0×101十1×100十9×10-1

二進(jìn)制數(shù)中,每一位僅有0、1兩個(gè)數(shù)碼。計(jì)數(shù)規(guī)律:逢二進(jìn)一。任意一個(gè)二進(jìn)制數(shù)可以表示為(S)2=kn-12n-1+kn-22n-2+...+k020+k-12-1+k-22-2+...+k-m2-m

=Ki

2ii=n–1–m2.二進(jìn)制其中,ki:只能取0或1

m、n:正整數(shù),n為整數(shù)位數(shù),m為小數(shù)位數(shù)

2:二進(jìn)制的基數(shù)2i:稱為第i位的權(quán)【例如】(101.101)2=1×22十0×21十1×20十1×2-1十0×2-2十1×2-33.八進(jìn)制在

八進(jìn)制數(shù)中,每一位有0~7八個(gè)數(shù)碼。計(jì)數(shù)規(guī)律:逢八進(jìn)一。

任意一個(gè)八進(jìn)制數(shù)可以表示為(S)8=kn-18n-1+kn-28n-2+...+k080+k-18-1+k-28-2+...+k-m8-m

=Ki8ii=n–1–m其中,ki:0~7八個(gè)數(shù)碼中的任意一個(gè)

m、n:正整數(shù),n為整數(shù)位數(shù),m為小數(shù)位數(shù)

8:八進(jìn)制的基數(shù)

8i:稱為第i位的權(quán)【例如】(67.73)8=6×81十7×80十7×8-1十3×8-24.十六進(jìn)制在十六進(jìn)制數(shù)中,每一位有0~9、A(10)、B(11)、C(12)、D(13)、E(14)、F(15)十六個(gè)數(shù)碼。計(jì)數(shù)規(guī)律:逢十六進(jìn)一。任意一個(gè)十六進(jìn)制數(shù)可以表示為(S)16=kn-110n-1+kn-210n-2+...+k0100+k-110-1+k-210-2+...+k-m10-m=Ki16ii=n–1–m其中,ki:0~9、A、B、C、D、E、F十六個(gè)數(shù)碼中的任意一個(gè)。m、n:正整數(shù),n為整數(shù)位數(shù),m為小數(shù)位數(shù)。16:十六進(jìn)制的基數(shù);16i:稱為第i位的權(quán)【例如】(8AE6)16=8×163十A×162十E×161十3×160

5、不同數(shù)制之間的轉(zhuǎn)換①十進(jìn)制二進(jìn)制、八進(jìn)制、十六進(jìn)制十進(jìn)制整數(shù)轉(zhuǎn)化成二進(jìn)制數(shù)時(shí),按除2取余方法進(jìn)行

十進(jìn)制整數(shù)轉(zhuǎn)化成八進(jìn)制數(shù)時(shí),按除8取余方法進(jìn)行

十進(jìn)制整數(shù)轉(zhuǎn)化成十六進(jìn)制數(shù)時(shí),按除16取余方法進(jìn)【例如】(725)10=(100001101)2(725)10=(1325)8

(725)10=(2D5)16

十進(jìn)制小數(shù)轉(zhuǎn)換成二進(jìn)制數(shù)時(shí),按乘2取整的方法進(jìn)行。十進(jìn)制小數(shù)轉(zhuǎn)換成八進(jìn)制數(shù)時(shí),按乘8取整的方法進(jìn)行。

十進(jìn)制小數(shù)轉(zhuǎn)換成十六進(jìn)制小數(shù)時(shí),按乘16取整的方法進(jìn)行。(0.8125)10=(0.1101)2(0.8125)10=(0.64)8(0.8125)10=(0.CF)16②二進(jìn)制、八進(jìn)制、十六進(jìn)制轉(zhuǎn)換成十進(jìn)制二進(jìn)制、八進(jìn)制或十六進(jìn)制轉(zhuǎn)換成等值的十進(jìn)制數(shù)時(shí),可按權(quán)相加的方法進(jìn)行。

【例如】(1011.01)2=1×23十0×22十1×21十1×20十0×2-1十1×2-2=8+0+2+1+0+0.25=(11.25)10(167)8=1×82十6×81+7×80=64+48+7=(119)10

(2A.7F)16=2×161十10×160十7×16-1十15×16-2=(42.4960937)10③八進(jìn)制、十六進(jìn)制與二進(jìn)制數(shù)的轉(zhuǎn)換一位八進(jìn)制數(shù)表示的數(shù)值恰好相當(dāng)于三位二進(jìn)制數(shù)表示的數(shù)值。

一位十六進(jìn)制數(shù)表示的數(shù)值恰好相當(dāng)于四位二進(jìn)制數(shù)表示的數(shù)值。

因此彼此之間的轉(zhuǎn)換極為方便:只要從小數(shù)點(diǎn)開始,分別向左右展開?!纠纭?67.731)8=(110111.111011001)2

(3AB4)16=(0011101010110100)2二、編碼1.帶符號(hào)的二進(jìn)制數(shù)的編碼X1=+0.1101011(真值)X1=0.1101011符號(hào)位(機(jī)器數(shù))X2=–0.1011011(真值)X2=1.1011011(機(jī)器數(shù))在數(shù)字系統(tǒng)中,表示機(jī)器數(shù)的方法很多,常用的有原碼、反碼和補(bǔ)碼。二進(jìn)制數(shù)二進(jìn)制數(shù)的編碼原碼當(dāng)X>0時(shí),[X]原與X的區(qū)別僅在于符號(hào)位用0表示;當(dāng)X<0時(shí),[X]原與X的區(qū)別僅在于符號(hào)位用1表示;X1=+0.1001010[X1]原=0.1001010X2=–0.1011011[X2]原=1.1011011X3=–1101001[X3]原=11101001小數(shù)原碼定義為[X]原=

X當(dāng)0≤X<11–X

當(dāng)–1<

X≤0整數(shù)原碼定義為[X]原=

X

當(dāng)0≤X<2n

2n–X

當(dāng)–2n

<

X≤0零的原碼形式[+0]原=0.0000000[–0]原=1.0000000反碼符號(hào)位與原碼的符號(hào)位相同;正數(shù):反碼的數(shù)值部分與原碼按位相同;負(fù)數(shù):反碼的數(shù)值部分是原碼的按位求反。X1=+0.1001010[X1]反=0.1001010X2=–0.1011011[X2]反=1.0100100X3=–1101001[X3]反=10010110小數(shù)反碼定義為[X]反=

X當(dāng)0≤X<12–2–n+X當(dāng)–1<

X≤0n—二進(jìn)制小數(shù)數(shù)值的位數(shù)【例如】X=–0.101101[X]反=2–2–6+(–0.101101)=10–0.000001–0.101101=1.010010整數(shù)反碼定義為[X]反=

X

當(dāng)0≤X<2n

(2n+1–1)+X當(dāng)–2n

<

X≤0零的反碼形式[+0]反=0.0000000[–0]反=1.1111111作反碼加、減法時(shí),要將運(yùn)算結(jié)果的符號(hào)位產(chǎn)生的進(jìn)位(0或1)加到和的最低位,才能得到最后結(jié)果。補(bǔ)碼符號(hào)位與原碼的符號(hào)位相同;正數(shù):補(bǔ)碼的數(shù)值部分與原碼按位相同;負(fù)數(shù):補(bǔ)碼的數(shù)值部分是原碼的按位求反加1。X1=+0.1011011[X1]補(bǔ)=0.1011011X2=–0.1101001[X2]補(bǔ)=1.0010111X3=–10010100[X3]補(bǔ)=101101100小數(shù)補(bǔ)碼定義為[X]補(bǔ)=

X當(dāng)0≤X<12+X

當(dāng)–1<

X≤0整數(shù)補(bǔ)碼定義為[X]反=

X

當(dāng)0≤X<2n

2n+1+X

當(dāng)–2n

<

X≤0零的補(bǔ)碼形式[0]補(bǔ)=0.00000000在數(shù)字系統(tǒng)中,可將減法運(yùn)算用補(bǔ)碼的加法實(shí)現(xiàn)。在求和的結(jié)果中,要將運(yùn)算結(jié)果產(chǎn)生的進(jìn)位丟掉,才得到正確結(jié)果?!纠纭堪宋欢M(jìn)制數(shù)碼表示000000000000000100000010011111100111111110000000100000011111111011111111……無符號(hào)數(shù)+012126127128129254255原碼+0+1+2+126+127–0–1–126–127反碼+0+1+2+126+127–128–127–2–1補(bǔ)碼+0+1+2+126+127–127–126–1–02.帶小數(shù)點(diǎn)的數(shù)的編碼(76.687)10=10–376687(1001.101)2=21000.1001101N=REME——階碼(整數(shù))R——基數(shù)(階碼的底)M——尾數(shù)(純小數(shù)或整數(shù))定點(diǎn)表示法小數(shù)點(diǎn)在數(shù)中的位置固定不變。E=0時(shí),表示純小數(shù)。符號(hào)位尾數(shù)MN1=+0.101011101010111N2=–0.110010111100101【例如】浮點(diǎn)表示法(階碼可變)階符階碼尾符尾數(shù)【例如】N1=+2110.110101101101N2=–2–100.1010110110103.十進(jìn)制數(shù)的二進(jìn)制編碼常用十進(jìn)制數(shù)碼十進(jìn)制數(shù)8421碼2421碼5211碼余3碼格雷碼000000000000000110000100010001000101000001200100010001101010011300110011010101100010401000100011101110110501011011100010001110601101100100110011010701111101110010101000810001110110110111100910011111111111000100有權(quán)碼無權(quán)碼4.格雷碼十進(jìn)制數(shù)8421碼格雷碼1格雷碼2格雷碼3格雷碼40000000000000000000101000100010001000101102001000110011001101113001100100010001001014010001100110011001005010111100111011111006011010100101010111017011110110100010011118100010011100110011109100110001000110110105.字符編碼(ASCII編碼)3-2組合電路的基本分析(1)根據(jù)給定邏輯圖寫出輸出邏輯函數(shù)表達(dá)式;(2)對(duì)邏輯函數(shù)表達(dá)式化簡,寫出最簡與或表達(dá)式;(3)根據(jù)最簡表達(dá)式列出真值表;(4)由真值表說明給定電路的邏輯功能?!纠?】ABABBABAY0=ABAABB=ABA+ABB=AB+ABY1=ABABY0Y10000011010101101邏輯功能:一位二進(jìn)制加法。

Y0:本位和;Y1:進(jìn)位位。組合電路如圖所示,分析該電路的邏輯功能。ABY0Y11&&&&【例2】分析下面組合電路的邏輯功能。Y=SA1A0D3SA1A0D2SA1A0D1SA1A0D0Y=SA1A0D3+SA1A0D2+SA1A0D1+SA1A0D0YD3SD2D1D0A0A1&&&&&111邏輯功能D3110D2100D101001D0000YA1A0S四選一數(shù)據(jù)選擇器A1A0:選擇控制(地址)D3D2D1D0:數(shù)據(jù)輸入Y=SA1A0D3+SA1A0D2+SA1A0D1+SA1A0D0S:使能端(選通端、片選端)

低電平有效YD3SD2D1D0A0A1&&&&&111【例3】分析下面組合電路的邏輯功能。Y1Y2Y311AB>1>1>1Y1=A+B=ABY3=A+B=ABY2=A+BA+B+=(A+B)(A+B)=AB+ABABY1000010101110Y2Y310010010功能:

當(dāng)A>B時(shí),Y1=1

當(dāng)

A=B時(shí),Y2=1

當(dāng)

A<B時(shí),Y3=1

是一位數(shù)字比較器3-3組合邏輯電路設(shè)計(jì)(1)根據(jù)設(shè)計(jì)要求,定義輸入、輸出邏輯變量,并給輸入、輸出邏輯變量賦值,即用0和1表示信號(hào)的有關(guān)狀態(tài);(2)列出真值表;(3)由真值表寫出邏輯函數(shù)表達(dá)式;(4)化簡邏輯函數(shù)表達(dá)式;(5)畫出邏輯圖;1、半加器不考慮低位進(jìn)位輸入,兩數(shù)碼X、Y相加,稱半加X

Y

S000011101110C0001S=XY

+XY=XY+C=XY=1&SCXYXYSCCO2、全加器COCOiAiBiSiCIiCI被加數(shù)、加數(shù)以及低位的進(jìn)位三者相加稱為“全加”真值表1110100110010100111011101001110010100000COiSiCIi

Bi

Ai

全減器的真值表如何?全加器1110100110010100111011101001110010100000COiSiCIi

BiAi

Si=m1+m2+m4+m7=AiBiCIiCi=AiBiCIi+AiBiCIi+AiBiCIi+AiBiCIi=(AiBi)CIi+AiBi【例1】設(shè)計(jì)一三人表決電路。設(shè)計(jì)要求:多數(shù)贊成通過,反之不通過。并用與非門實(shí)現(xiàn)該電路。1.設(shè)定變量:用A、B、C和Y分別表示輸入和輸出信號(hào);2.狀態(tài)賦值:贊成用1表示,反之用0表示。表決結(jié)果用指示燈表示;燈亮表示1,不亮表示0;3.列真值表:ABC000001010011100101110111Y000011114.寫邏輯函數(shù)表達(dá)式并化簡:Y=ABC+ABC+ABC+ABC=AB+AC+BC=ABACBC5.畫出邏輯圖:三人表決電路10A+5VBCY&&&&R=ABACBCY【例3】三層樓房,樓道只有一盞燈。試設(shè)計(jì)該樓道燈控制電路。要求:在每一層均可控制開關(guān)。開關(guān)—A、B、C合——“1”開——“0”滅——“0”亮——“1”燈—YA、B、CY0000001010100101110111001111CBAY000101100001111000011110101010114位串行進(jìn)位加法器3-4常用組合集成邏輯電路

串行進(jìn)位的延遲級(jí)數(shù)與位數(shù)成正比.考慮設(shè)置專用的進(jìn)位形成電路同時(shí)產(chǎn)生各位的進(jìn)位Cn.

進(jìn)位輸入是由專門的“進(jìn)位門”綜合所有低位的加數(shù)、被加數(shù)及最低位進(jìn)位來提供.稱”快速加法器”或”超前進(jìn)位加法器”1.四位集成全加器——74LS283COi=AiBiCIi+AiBiCIi+AiBiCIi+AiBiCIi進(jìn)位的產(chǎn)生:2.譯碼器2.1最小項(xiàng)譯碼器——74LS138輸入輸出B2

B1

B0

0000010100111001011101110111111110111111110111111110111111110111111110111111110111111110真值表:(1)對(duì)三變量可直接使用,但也可擴(kuò)展位數(shù),這是由二片74LS138構(gòu)成的四位二進(jìn)制碼的譯碼電路。譯碼器的擴(kuò)展B3“1”012345678910111213141500000001001000110100010101100111100010011010101111001101111011112-4線譯碼器——74LS139輸出高電平有效輸出低電平有效Y0Y1Y2Y3ABEY0Y1Y2Y3ABE(1)這是由二片74LS139構(gòu)成的四位二進(jìn)制碼的譯碼電路?!纠?】5片2-4譯碼器構(gòu)成4-16譯碼器。第一層的一個(gè)譯碼器用作選片。E=0時(shí),CD=00時(shí)選中左邊一片,譯出Y0…Y3;依此類推。用譯碼器實(shí)現(xiàn)組合邏輯函數(shù)字形顯示abfgecd?fg

abedc?2.2顯示譯碼器LED連接方式七段數(shù)字顯示器分為共陰極和共陽極兩種。abcdefg+++++?共陰極接法+VCC?abcdefg共陽極接法若采用共陽極LED,顯示譯碼器的輸出應(yīng)為低電平輸出有效;若采用共陰極LED,則高電平輸出有效。注意Ya

Yb

Yc

YdYe

Yf

YgA3

A2

A1

A00000000100100011010001010110011110001001101010111100110111101111111111001110001101100111100101100111011011001111111100001111111111001100011010011001010001110010110001111000000001234567891011121314150123456789十進(jìn)制

字BCD-七段顯示譯碼器74LS48的邏輯圖常用顯示譯碼器7447(低電平輸出有效)7449(高電平輸出有效)7448(高電平輸出有效7448的邏輯功能:LT—燈測(cè)試(低電平有效)BI/

RBO—滅燈輸入/滅零輸出(低電平有效)RBI—滅零輸入;(低電平有效)當(dāng)RBI=0;A3A2A1A0=0

時(shí)燈滅,RBI=1;A3A2A1A0=0時(shí),顯示0。如何滅零?

有滅零控制的8位數(shù)碼顯示系統(tǒng)用7448驅(qū)動(dòng)BS201的連接方法2.3編碼器

8個(gè)輸入信號(hào)分別用I0~I7表示,且高電平有效;輸出的三位二進(jìn)制代碼分別用Y0、Y1、Y2表示。簡化編碼表Y2=I4+I5+I6+I7Y1=I2+I3+I6+I7Y0=I1+I3+I5+I7=I4I5I6I7=I2I3I6I7=I1I3I5I7輸入輸出I0=1Y2Y1Y0000001010011100111110101I1=1I2=1I3=1I4=1I5=1I7=1I6=1A1A0A2Y2Y1Y0Y2I7I6I5I4I3I2I1I011111111&&&

一、3位二進(jìn)制編碼器用或門實(shí)現(xiàn)的編碼器邏輯圖二、集成優(yōu)先編碼器——74148(8線-3線)(1)輸入、輸出均以低電平作為有效信號(hào)。(2)S—使能輸入(3)YS—使能輸出?!半娐饭ぷ?,但無編碼輸入”1011111111YSX10XXXXXXX01111XXXXXXX1100000000YEX111111110711111111101111111110110111110010111110X01001110XX0011110XXX001010XXXX00010XXXXX0000XXXXXX0Y2Y1Y0

654321S(4)YEX—擴(kuò)展端?!半娐饭ぷ?,有編碼輸入”

用兩片74LS148接成的16線-4線優(yōu)先編碼器

二-十進(jìn)制優(yōu)先編碼器74LS147的邏輯圖2.4四選一數(shù)據(jù)選擇器D3D2D1D0A1A0S1MUXYYD3S1D2D1D0A0A1&111&&&&Y=S1A1A0D3+S1A1A0D2+S1A1A0D1+S1A1A0D0D3110D2100D101001D0000YA1A0S有使能端的雙4選1數(shù)據(jù)選擇器——74LS153(輸出結(jié)構(gòu):W=Y)1Y1W2Y2W++1D01D11D21D32D02D12D22D3S0S11E2E.......................1&&11111>1>1選擇器擴(kuò)展【例1】利用一片74153構(gòu)成一個(gè)8選1數(shù)據(jù)選擇器。A2D7D6D5D4D3D2D1D0輸入1D31D21D11D0S1A1A074153Y2Y12D32D22D12D0S2A0A1Y>1【例2】用雙4選1選擇器擴(kuò)展成16選1選擇器A3A2A1A0Y00D00001D110D211D30100D401D510D611D700D810

01D910D1011D111100D1201D1310D1411D15兩種不同的擴(kuò)展方案,從功能表上分析,可以先選低兩位,也可以先選高兩位。16選1功能表方案一:用雙4選1選擇器(無使能端)擴(kuò)展成16選1選擇器邏輯結(jié)構(gòu):A1A0控制第一層選擇,

A3A2控制第二層選擇。A0

A1

D0

D3

Y

D0

D3

Y

A0

A1

D0

D3

Y

D0

D3

Y

A0

A1

D0

D3

Y

A1

A0

A3

A2

D0

D3

D4

D7

D8

D11

D12

D15

..方案二:用雙4選1選擇器(無使能端)擴(kuò)展成16選1選擇器A0

A1

D0

D3

Y

D0

D3

Y

A0

A1

D0

D3

Y

D0

D3

Y

A0

A1

D0

D3

Y

A3

A2

A1

A0D0D4D8D12D1D5D9D13D2D6D10D14D3D7D11D15邏輯結(jié)構(gòu):A3A2控制第一層選擇,

A1A0控制第二層選擇。方案三:用雙4選1選擇器(有使能端)擴(kuò)展成16選1選擇器用譯碼器+數(shù)據(jù)選擇器,一級(jí)選擇就可以。高兩位控制端經(jīng)譯碼后分別控制數(shù)據(jù)選擇器的使能端E,以實(shí)現(xiàn)擴(kuò)展。輸出級(jí)是OC門,因此可以“線與”。A3A2A1A0ED0D3D4D7D8D11D12D151W2W1W2WVCCRLYA1A0EY0Y1Y2Y3A1A1A0A0E1D01D3E2D02D3E1D01D3E2D02D3......用數(shù)據(jù)選擇器實(shí)現(xiàn)組合邏輯函數(shù)【例1】利用選擇器實(shí)現(xiàn)邏輯函數(shù)Y(A,B,C)=(1,2,4,6,7)用八選一74151Y=m1+m2+

m4+m6+m7=ABC+ABC+ABC+ABC+ABC

74151D7D6D5D4D3D2D1D0A1A0YA2YABC“1”=ABC0+ABC1+ABC1+ABC0+ABC1+ABC0+ABC1+ABC1用四選一74153Y=ABC+ABC+ABC+ABC+ABCAB1D31D21D11D0S1A1A074153Y2Y12D32D22D12D0S2YC“1”..1=AB?C+AB?C+AB?C+AB?1【例2】利用八選一數(shù)據(jù)選擇器實(shí)現(xiàn)邏輯函數(shù)

Y=ACD+ABCD+BC+BCDBADC0011011000110110111111111Y=DCB?0+DCB?1+DCB?0+DCB?1+DCB?A+DCB?A+DCB?A+DCB?1

74151D7D6D5D4D3D2D1D0A1A0YA2YDCB“1”A.....1數(shù)據(jù)同比較器—【例3】分析下面組合邏輯電路的邏輯功能S2S1S0ES3S2S1YYD7D6D5

D4D3D2

D1D0

Y7Y6Y5

Y4Y3Y2

Y1Y0

A2A1A074LS15174LS138A2A1A0B2B1B0AB比較結(jié)果:若A=B,則Y=0,反之,Y=1。只能比較兩個(gè)二進(jìn)制數(shù)是否相同,而不能比較其大小。2.5數(shù)值比較器1、一位數(shù)值比較器1.定義:用來比較兩個(gè)一位二進(jìn)制數(shù)大小的電路。2.真值表:Ai

Bi

YA>B0000101011100010YA<BYA=B1001YA>B=AiBiYA<B=AiBi3.邏輯圖:YA=B=AiBi+AiBi=AiBi+AiBiYA<BYA=BYA>BAiBi11&&=2、四位數(shù)值比較器A3A2A1A0B3B2B1B0從高位開始比較,若A3>B3

則A>B,若A3<B3

則A<B,若A3=B3

則再比較低位A3B3A2B2A1B1A0B0IA>BIA<BIA=BA>BA<BA=BA3>B3XXXXXX100A3<B3XXXXXX010A3=B3A2>B2XXXXX100A3=B3A2<B2XXXXX010A3=B3A2=B2A1>B1XXXX100A3=B3A2=B2A1<B1XXXX010A3=B3A2=B2A1=B1A0>B0XXX100A3=B3A2=B2A1=B1A0<B0XXX010A3=B3A2=B2A1=B1A0=B0100100A3=B3A2=B2A1=B1A0=B0001

001A3=B3A2=B2A1=B1A0=B0010010A3B3A2B2A1B1A0B0IA>BI

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論