智能小車的循跡避障行駛_第1頁
智能小車的循跡避障行駛_第2頁
智能小車的循跡避障行駛_第3頁
智能小車的循跡避障行駛_第4頁
智能小車的循跡避障行駛_第5頁
已閱讀5頁,還剩29頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

第一章緒論1.1課題背景隨著新能源汽車、電動汽車等等新型汽車的快速發(fā)展,人們對汽車的研究也越來越關(guān)注。能夠給人類帶來便利的智能汽車概念逐漸被提出,這對汽車行業(yè)來說,即是機(jī)遇又是挑戰(zhàn)。汽車的智能化是大勢所趨,基于單片機(jī)的智能循跡車的設(shè)計就是在這樣的背景下展開的。紅外線循跡作為智能車循跡的一種重要手段,以其循跡實現(xiàn)方便,計算簡單,易于做到實時控制,在未來汽車智能化進(jìn)程中必將得到廣泛應(yīng)用??萍际堑谝簧a(chǎn)力,我國要成為真正意義上的世界強(qiáng)國,就必須在世界高科技方面站穩(wěn)腳跟。未來的汽車行業(yè)必將朝著智能化方向去發(fā)展。智能小車的自動循跡避障功能是小車智能化的基礎(chǔ),因此對它的研究具有重要的意義。這也對我國的汽車行業(yè)在世界高高科技領(lǐng)域站穩(wěn)腳跟起到了決定性作用。機(jī)器人在國外的發(fā)展比較先進(jìn),智能化和模塊化也比較高。在生產(chǎn)領(lǐng)域和非制造領(lǐng)域里都有較為普遍的應(yīng)用。在我國機(jī)器人主要應(yīng)用于工業(yè)生產(chǎn)領(lǐng)域,機(jī)器人數(shù)量占世界總數(shù)量的萬分之十六,并且國產(chǎn)機(jī)器人的比例占我國機(jī)器人總量的不到一半。這對于我國這樣世界人口最多的國家來說與發(fā)達(dá)國家有很大的差距。因此我國必須堅持發(fā)展科技在機(jī)器人領(lǐng)域里加大投資與研究。1.2研究目的及意義通過對小車尋跡避障功能的設(shè)計,加深對自動控制原理和傳感器技術(shù)的理解,并將其運用到實踐當(dāng)中,做到學(xué)以致用。并且在設(shè)計的過程中熟悉51單片機(jī)的使用以及各種驅(qū)動電路和外圍電路,加深對相應(yīng)器件的了解。從紅外傳感器、超聲波測距、電機(jī)驅(qū)動在智能小車上的應(yīng)用,可以進(jìn)一步研發(fā),將這些技術(shù)模塊應(yīng)用到現(xiàn)實中的車輛上。1.3本設(shè)計完成的工作(1)熟悉51單片機(jī)集成開發(fā)環(huán)境,運用C語言編寫工程文件;(2)熟練STC89C52單片機(jī)的內(nèi)部結(jié)構(gòu)、管腳圖,以及軟硬件調(diào)試設(shè)備的基本方法;

(3)構(gòu)建設(shè)計基于STC89C52單片機(jī)的最小系統(tǒng),完成相關(guān)硬件電路的設(shè)計并將其實現(xiàn)。

(4)學(xué)習(xí)循跡、超聲波避障和電機(jī)的原理和了解其相應(yīng)的實現(xiàn)方法。第二章總體設(shè)計方案根據(jù)課題的情況,確定以下方案:給電動小車加上控制器,在小車的前端安裝傳感器,由傳感器收集路面的情況,并將路面信息轉(zhuǎn)換為電信號送到控制器,再由主控制器對小車進(jìn)行精確的控制,是小車能夠循跡行駛。自動循跡小車原理圖如圖1所示:圖1自動循跡小車原理圖在小車的車頭安裝5個ST178紅外傳感器。ST178紅外光電傳感器可以將地面上的黑線信息轉(zhuǎn)換為電信號,用于判斷小車是否在軌跡上以及小車是否需要轉(zhuǎn)彎等。為了實現(xiàn)避開障礙物的功能,在小車的前面安裝超聲波模塊。通過超聲波測距,并在預(yù)設(shè)的距離開始轉(zhuǎn)彎避障。系統(tǒng)總體的設(shè)計方框圖如圖2所示。圖2系統(tǒng)總體方框圖2.1方案選擇及論證2.1.1車體設(shè)計方案1:使用四驅(qū)電動車,這樣能保證充足的動力,在速度方面有很大優(yōu)勢。但其缺點也顯而易見,在遇到障礙物轉(zhuǎn)彎時不夠流暢,在程序方面比較繁瑣復(fù)雜。方案2:智能小車的驅(qū)動方案選擇兩輪驅(qū)動,并且有一個活動腳輪來配合轉(zhuǎn)向。即用兩個直流減速電機(jī)來驅(qū)動左右輪并且保證兩個電機(jī)的力矩和轉(zhuǎn)速相一致,在后方裝一個活動腳輪。在小車行進(jìn)過程中,當(dāng)左右兩輪向相反方向運動時,由于活動腳輪的作用,可以時小車輕松轉(zhuǎn)變方向。綜上考慮,我選擇了方案2。2.1.2控制器模塊方案1:采用FPGA作為系統(tǒng)的控制器。FPGA適用性強(qiáng),適用于各種復(fù)雜邏輯功能的設(shè)計。它體積小,靈活性高,穩(wěn)定性強(qiáng),同時IO口多,便于進(jìn)行功能拓展,處理速度也很快。但其適用于大規(guī)模且要求比較高的系統(tǒng),并且價格高,編程難度大,會帶來一些不必要的難題。本系統(tǒng)邏輯功能簡單,對控制器的處理速度要求不是很高,因此不選擇此方案。方案2:由于51單片機(jī)具有體積小、可靠性高、集成度高、控制功能強(qiáng)和易擴(kuò)展等優(yōu)點。這里選用51單片機(jī)作為整個系統(tǒng)的控制芯片,用它來控制小車來實現(xiàn)既定的功能。控制簡單并且方便快捷,也足以應(yīng)對系統(tǒng)的設(shè)計需要。所以,此方案是更為理想的一個方案。綜上考慮,我選擇了方案22.1.3電機(jī)驅(qū)動模塊方案1:由功率管構(gòu)成驅(qū)動電路來控制電機(jī)的開或關(guān)。此方案性能可靠,具有自動保護(hù)功能,但結(jié)構(gòu)較為復(fù)雜多用于交流方案2:使用SM6135W來驅(qū)動電機(jī),SM6135W是無線遙控編解碼集成電路。具有遙控車的完整控制功能。但是這一方案花銷較大,在實際操作中比較難實現(xiàn)。這是由它的控制方式?jīng)Q定的,SM6135W的控制方式是編發(fā)輸入控制。方案3:采用L298N(如下圖所示),L298N是一種雙H橋電機(jī)驅(qū)動芯片并且驅(qū)動電流大,耐壓高。該芯片的特點是單塊集成電路,高電壓,大電流,15引腳封裝,4通道驅(qū)動,可以直接對電機(jī)進(jìn)行控制并且無需隔離電路,而且電路簡單,使用起來很方便。經(jīng)過綜合比較,L298N電機(jī)驅(qū)動模塊更理想、更可靠且更容易實現(xiàn)。因此設(shè)計選用L298N驅(qū)動模塊圖3L298N圖4H橋式電路2.1.4電機(jī)選取方案1:采用直流電動機(jī)來驅(qū)動智能小車,直流電機(jī)調(diào)速特性比較好,調(diào)速較平滑,調(diào)速范圍廣并且電機(jī)體積小,易于安裝和布局。在調(diào)速時可以采用改變電壓的方法,也可運用PWM原理進(jìn)行調(diào)速。性價比很高方案2:采用步進(jìn)電機(jī),步進(jìn)電機(jī)的特點是沒有累積誤差,結(jié)構(gòu)簡單、通過輸入脈沖信號來進(jìn)行控制。其中輸入脈沖的數(shù)量決定電機(jī)的總轉(zhuǎn)動角度,輸入脈沖的頻率決定決定電機(jī)的轉(zhuǎn)速。此外,步進(jìn)電機(jī)還具有維修方便,制造成本低等優(yōu)點。但是也有一系列缺點:1.如果控制不當(dāng)容易產(chǎn)生共振;2.難以運轉(zhuǎn)到較高的轉(zhuǎn)速等等。通過綜合比較,選擇了方案1,在設(shè)計中選用直流電動機(jī)來驅(qū)動小車。2.1.5電源模塊方案1:采用有線電源進(jìn)行供電,可以穩(wěn)定的提供5V電源,但需要很長的線,會對小車的行駛造成不便。方案2:采用6節(jié)1.5V干電池進(jìn)行供電,經(jīng)過7805的電壓變換后為單片機(jī)供電。此方案比較便捷,可行性高。并且單片機(jī)、傳感器的工作電壓穩(wěn)定工作效果很好,而且電池更換方便。綜合考慮后,選擇方案22.1.6循跡模塊方案1:采用光敏二極管和發(fā)光二極管構(gòu)成的發(fā)射-接收電路,此方案在實際操作中易受到光線環(huán)境的影響,對外界環(huán)境的穩(wěn)定性要求過。一旦外部環(huán)境發(fā)生改變,就容易發(fā)生誤測,造成不穩(wěn)定。方案2:在小車車頭下方安裝5個紅外對管(如圖5),兩只貼近置于軌道兩邊,兩只分別置于稍微遠(yuǎn)離軌道的兩側(cè),最后一個置于小車車頭正下方。當(dāng)小車脫離軌道時,即中間的紅外對管不在軌道上時,根據(jù)兩側(cè)的紅外對管檢測黑線的情況小車進(jìn)行左轉(zhuǎn)或右轉(zhuǎn)。直到智能小車重新回到軌跡(即位于小車車頭正下方的紅外對管處于軌道上)再恢復(fù)直線行駛?,F(xiàn)場實測表明,小車循跡非常穩(wěn)定,即使是很大的彎道也能輕松跑過去。通過比較,我選取第三種方案來實現(xiàn)循跡。圖5紅外對管2.2最終方案經(jīng)過反復(fù)論證,我們最終確定了如下方案:車模用購買的兩驅(qū)車模2、主控芯片采用STC89C52單片機(jī)。3、用6節(jié)干電池供電。4、用5個ST178光電開關(guān)進(jìn)行黑線識別循跡。5、直流電機(jī)驅(qū)動模塊選用L298N。第三章硬件設(shè)計智能小車為兩輪驅(qū)動,左右兩個輪子各用一個電機(jī)驅(qū)動,調(diào)節(jié)左右兩個輪子,當(dāng)兩個輪子轉(zhuǎn)向不同時,小車改變方向。另一個是活動腳輪,起到了支撐作用。將5個紅外對管分別裝在車體上,中間安置一個用于判斷小車是否在軌道上,兩邊各安裝兩個。在中間的光電開關(guān)偏于軌道的前提下,當(dāng)智能小車兩邊的紅外對管探測到黑色軌跡邊緣時,單片機(jī)控制兩邊相應(yīng)的電機(jī)減速,智能小車向左或向右轉(zhuǎn)向使之重新正對于軌道上。避開障礙物的原理是利用超聲波測距系統(tǒng)。小車在行駛過程中,當(dāng)安裝在車身前方的超聲波傳感器在預(yù)設(shè)的距離感應(yīng)到障礙物時,主控芯片給出控制信號控制小車轉(zhuǎn)向繞過障礙物。3.1主控器STC89C52STC89C52是一種帶8K字節(jié)可編程可擦除只讀存儲器(FPEROM-FLASHProgramableandErasableReadOnlyMemory)的低電壓、高性能的微處理器,俗稱單片機(jī)。STC89C52單片機(jī)引腳圖如圖6所示:圖6STC89C52引腳排列其主要特性如下表1所示STC89C52的主要特性1工作電壓:5.5V~3.3V(5V單片機(jī))/3.8V~2.0V(3V單片機(jī))2工作頻率范圍:0~40MHz3用戶應(yīng)用程序空間為8K字節(jié)4片上集成512字節(jié)RAM5通用I/O口(32個),復(fù)位后為:P0/P1/P2/P3是準(zhǔn)雙向口/弱上拉,漏極開路輸出是P0口,當(dāng)它作為作為I/O口用時,需加上拉電阻;當(dāng)它作為總線擴(kuò)展用時,不用加上拉電阻。6具有EEPROM功能7超低功耗8共3個16位定時器/計數(shù)器。即定時器T0、T1、T2表1STC89C52特性其中1到5號管腳(即p1.0到p1.4)接到接線端口再接到循跡模塊的OUT1到OUT5端口;21到24號管腳(即p2.0到p2.3)接到電機(jī)驅(qū)動模塊中的L298N的IN1到IN4上,10和11號管腳下載接口的2、3號管腳相連;將電源模塊的5V和地線接到單片機(jī)的40和20號管腳,6和7號管腳分別連接超聲波避障模塊的Trig和Echo端口。要使STC89C52單片機(jī)正常工作,必須要有復(fù)位電路和時鐘等來構(gòu)成最小運行環(huán)境,本系統(tǒng)的最小控制電路如圖7所示圖7單片機(jī)最小系統(tǒng)3.2單片機(jī)復(fù)位電路設(shè)計復(fù)位電路是一種把電路狀態(tài)直接恢復(fù)到起始狀態(tài)的一種電路,當(dāng)程序運行不正常或者出現(xiàn)卡機(jī)的現(xiàn)象,就需要進(jìn)行復(fù)位。本系統(tǒng)采用的是加電自復(fù)位的復(fù)位電路。其復(fù)位電路如圖8所示。在上電瞬間,儲能原件電容的電壓不能突變,此時電壓全部加在電阻R1上Rest引腳為高電平,芯片復(fù)位。隨后VCC開始給電容充電,電阻上的分壓越來越小接近為0,芯片正常工作。圖8復(fù)位電路3.3單片機(jī)時鐘電路設(shè)計時鐘電路用來發(fā)出單片機(jī)工作時必須的時鐘信號,時鐘電路就是一個振蕩器,給單片機(jī)提供一個節(jié)拍,單片機(jī)執(zhí)行各種操作必須在這個節(jié)拍的控制下才能進(jìn)行??梢酝ㄟ^內(nèi)部時鐘方式和外部時鐘方式來提供這個節(jié)拍。本系統(tǒng)采用的是內(nèi)部時鐘方式來產(chǎn)生時鐘信號。其電路如圖9,電路中電容的選取非常重要,電容大小不合適會影響振蕩的穩(wěn)定性與頻率,這里C1、C2選用兩個30PF的電容。圖9時鐘電路3.4避障模塊為了實現(xiàn)避障功能,在小車前端必須安裝一個能夠感知障礙物的裝置,可以選用光電傳感器和超聲波傳感器,本設(shè)計所選用的是由超聲波發(fā)射器、接收器還有控制電路構(gòu)成了HC-SR04超聲波模塊。該超聲波模塊的探測距離比較廣最小為2里面,最大為4米,并且探測精度高,可達(dá)0.3cm基本工作原理:從IO口觸發(fā),向Trig腳輸入至少10us的觸發(fā)信號,該模塊內(nèi)部將發(fā)出8個40Khz的周期電平并探測回波。測試距離=(高電平時間*聲速(340M/S))/2。即高電平時間乘聲音在空氣中的傳播速度再除以2.超聲波模塊的原理圖如圖10所示圖10超聲波模塊原理圖3.5電源設(shè)計電源模塊是以芯片7805為核心搭建的。7805是三端正電源穩(wěn)壓電路,他簡單實用,有一系列固定的電壓輸出,外形與普通的三極管無異。7805為線性穩(wěn)壓,輸出電壓比輸入電壓低,反應(yīng)速度快并且構(gòu)造簡單工作產(chǎn)生的噪音低,能夠滿足本設(shè)計的供電需求。7805有三個管腳,分別為輸入、接地、輸出。選取了6節(jié)干電池9V作為7805的輸入電源,搭建的電源部分電路如圖11圖11電源電路3.6電機(jī)驅(qū)動模塊由于STC89C52上的I/O口驅(qū)動能力不強(qiáng),不能直接連接直流電動機(jī),故需要驅(qū)動芯片來加強(qiáng)驅(qū)動能力以驅(qū)動電機(jī)。電機(jī)驅(qū)動一般采用H橋式驅(qū)動電路,本設(shè)計的電機(jī)驅(qū)動內(nèi)部結(jié)構(gòu)為H橋式驅(qū)動電路,從而可以采用L298N的電路連接方式。L298N是SGS公司出產(chǎn)的,內(nèi)含4通道邏輯驅(qū)動電路的產(chǎn)品。L298N是雙全橋式驅(qū)動器,內(nèi)部含有兩個H橋,通過接收單片機(jī)的PWM信號來控制智能車的啟停與速度,保證小車的正常行駛功能。其引腳圖如12,驅(qū)動原理圖如圖13。圖12L298N引腳圖圖11電機(jī)驅(qū)動電路3.7紅外循跡模塊小車循跡的原理是根據(jù)接收到的不同顏色所反射的反射光的強(qiáng)弱來判斷是否在黑色軌道上。這里采用了比較普遍的“紅外探測法”。紅外探測法的測量原理是將調(diào)試后的發(fā)射信號經(jīng)紅外管發(fā)射,光敏管再接收調(diào)制的紅外信號。原理如圖12所示。本次設(shè)計采用的是ST178型光電對管。根據(jù)接收管接收到信號不同再通過LM339作比較器來采集高低電平,從而實現(xiàn)信號的檢測。紅外循跡模塊的原理圖如圖13所示圖12紅外發(fā)射接收原理圖13循跡原理圖紅外光電傳感器的實物安裝圖如14圖所示:圖14紅外光電傳感器安裝3.8小車車體總體設(shè)計本系統(tǒng)在車體兩端分別設(shè)計了一個控制車輪的減速電機(jī)。后輪使用萬向輪,起支撐作用,維持車身平衡。ST178紅外光電開關(guān)置于車體前方;電池盒置于主板下方。小車車體設(shè)計如下圖15圖15小車車體總體結(jié)構(gòu)圖第四章軟件設(shè)計主控系統(tǒng)的設(shè)計包括硬件設(shè)計和軟件設(shè)計。軟件設(shè)計指的是按照各硬件電路的功能來設(shè)計應(yīng)用程序。在單片機(jī)控制系統(tǒng)中大致可以分為接受整理信息和控制動作兩部分。接受整理信息部分有數(shù)據(jù)采集、數(shù)字濾波、標(biāo)度變換等。控制部分主要是指單片機(jī)經(jīng)過特定的計算然后輸出來控制小車。為了完成上述步驟,在進(jìn)行軟件設(shè)計時先確定主程序,之后根據(jù)各硬件電路功能來設(shè)計子程序模塊,最后再將各模塊嵌入主程序中。這樣分部編程容錯率好,各模塊獨立編程又相互聯(lián)系,大大減少了工作量。方框圖如圖16所示。電機(jī)驅(qū)動子程序電機(jī)驅(qū)動子程序循黑線子程序自動循跡智能小車主程序圖16系統(tǒng)軟件設(shè)計方框圖4.1主程序流程圖如圖17為主程序流程框圖。打開電源,小車啟動。這時開始檢測黑線,判斷小車是否處于軌道上。若小車沒有偏離軌道則繼續(xù)前進(jìn),若小車偏離軌道則控制器控制向左或向右調(diào)整。之后繼續(xù)檢測是否處于軌道即判斷中間的紅外傳感器ST178是否處于黑線上。如此往復(fù)循環(huán)。開始開始初始化檢測偏離跑道?向左或右調(diào)整小車前進(jìn)再次檢測中間ST178踩線YN圖17系統(tǒng)軟件的整體流程圖第五章系統(tǒng)的安裝與調(diào)試5.1系統(tǒng)的安裝這里將系統(tǒng)的安裝分為2個步驟:1、檢查各元件好壞與否2、放置并焊接元件。檢查元件質(zhì)量按照原理圖買好相應(yīng)的元件,按照每個元件的檢測方法去檢測元件是否可以正常工作是否存在問題。并再次比對元件型號與原理圖是否一致。所有元件無誤并且正常時才可開始放置、焊接。這樣可以避免錯誤焊接后的不便改正放置并焊接元件按照原理圖要求來放置各元件,并且為了方便,在焊接時應(yīng)注意先放置和焊接矮小的元件,之后再焊接體積較大和要求較高的元件。特別的,精密的元件要最后焊接,如果焊接的過早,會增大損壞的概率。在焊接集成芯片時連續(xù)焊接時間不宜超過10秒。3、超聲波模塊的安裝如圖18所示:圖18超聲波模塊的安裝4、電機(jī)驅(qū)動及單片機(jī)的安裝布置如下圖所示:圖19電機(jī)驅(qū)動及單片機(jī)的安裝布置5、循跡模塊的焊接如圖20所示:圖20循跡模塊的焊接圖5.2電路的調(diào)試首先把電機(jī)控制小程序燒入單片機(jī),若能正??刂齐姍C(jī)正反轉(zhuǎn)與停止。說明電機(jī)及驅(qū)動電路正常,以此作為調(diào)試標(biāo)準(zhǔn)。然后加入避障子程序,在下車正常行駛時,調(diào)節(jié)紅外傳感器的靈敏度使達(dá)到理想效果。在調(diào)試過程中,發(fā)現(xiàn)有的不正確的指令會導(dǎo)致電路功能出現(xiàn)故障。另外軟件程序中的延時有的過長、有的過短。對于這些問題調(diào)試了較長時間,以下簡要進(jìn)行說明。5.2.1超聲波模塊調(diào)試過程超聲波模塊選用HC-SR04,在調(diào)試時先預(yù)設(shè)30公分的距離為避障的范圍。經(jīng)過多次調(diào)試,使小車最終能夠良好的完成避障任務(wù)。5.2.2電機(jī)模塊調(diào)試過程由于采用的電機(jī)額定工作電壓為6V,電源模塊提供的電壓為9V,可以用PWM原理來解決、PWM原理即面積等效原理:形狀不同但面積相同的窄脈沖加到慣性環(huán)節(jié)上時,其得到的結(jié)果基本相同。因此可用等幅值不同寬度的脈沖來等效波形。5.3測試結(jié)果與分析測試方法(1)、開啟電源,將小車放置在預(yù)設(shè)的跑道上。在小車行駛的過程中,檢測小車在筆直軌道行駛時是否徑直行駛;在彎道上能否快速而準(zhǔn)確的轉(zhuǎn)彎。(2)、在小車行駛過程中,當(dāng)小車前方出現(xiàn)障礙物時,看看能否在預(yù)定的距離轉(zhuǎn)彎避開障礙物。2、測試結(jié)果通過測試,小車基本能前進(jìn),轉(zhuǎn)彎和在預(yù)定距離避障。但會受到一些外界因素的影響,比如光線問題,跑道不夠平整等因素都會或多或少影響小車的正常行駛。這些問題需要進(jìn)一步的改進(jìn)調(diào)整。結(jié)論論文首先介紹了自動循跡避障車設(shè)計的背景和意義,討論了小車實現(xiàn)需要完成的工作。然后論文討論了自動循跡小車的結(jié)構(gòu),其主要由傳感器模塊、控制器模塊和電動小車模塊組成。對各個模塊的功能結(jié)構(gòu)進(jìn)行了介紹并畫出相應(yīng)的原理圖。并對各個模塊的設(shè)計方案進(jìn)行列舉、對比后最終決定出各個模塊的設(shè)計方案。本智能小車的整個系統(tǒng)設(shè)計以51單片機(jī)為主,選用了多種傳感器,將軟件硬件結(jié)合起來。在硬件方面采用5個ST178紅外光電開關(guān)來檢測黑線跑道。保證中間的光電開關(guān)始終處于軌道上。由于采用了6節(jié)干電池供電使系統(tǒng)的抗干擾性得到加強(qiáng)。本設(shè)計結(jié)構(gòu)簡單,調(diào)試方便,系統(tǒng)反映快速靈活,硬件電路由可拆卸模塊拼接而成有很大的擴(kuò)展空間。經(jīng)實驗測試,該智能小車設(shè)計方案正確、可行,各項指標(biāo)穩(wěn)定、可靠。雖然智能小車系統(tǒng)有很多優(yōu)點,但在設(shè)計當(dāng)中也存在著一些不足。由于紅外光電開關(guān)的原理是發(fā)射和接收紅外線,當(dāng)外界光線很強(qiáng)時易對傳感器造成干擾,所以在使用中需要注意環(huán)境光線避免太陽光直射。參考文獻(xiàn)[1]郭惠,吳迅.單片機(jī)C語言程序設(shè)計完全自學(xué)手冊[M].電子工業(yè)出版社,2008.10[2]王東鋒,王會良,董冠強(qiáng).單片機(jī)C語言應(yīng)用100例[M].電子工業(yè)出版社,2009.3[3]韓毅,楊天.基于HCS12單片機(jī)的智能尋跡模型車的設(shè)計與實現(xiàn)[J].學(xué)術(shù)期刊,2008,29(18)[4]王曉明.電動機(jī)的單片機(jī)控制[J].學(xué)術(shù)期刊,2002,13(15)[5]YamatoI,etal1NewconversionsystemforUPSusinghighfrequencylink[J]1IEEEPESC,1988[6]YamatoI,etal1HighfrequencylinkDC/ACconverterforUPSwithanewvoltageclamper[J]1IEEEPESC,1990[7]鄒佩,劉松松,馮洋.基于AT89S52的智能環(huán)境數(shù)據(jù)采集小車[J].科技信息,2012[8]蘇培華,紀(jì)養(yǎng)龍,紀(jì)衛(wèi)峰.淺談電動小車循跡的基本設(shè)計原理[J].中國新技術(shù)新產(chǎn)品,2011[9]于露,楊帆,馬麗莉.淺談單片機(jī)在電子技術(shù)中的應(yīng)用[J].技術(shù)與市場,2012[10]蘇杰仁.人體紅外追蹤小車的設(shè)計實現(xiàn)[J].電子測試,2015[11]郝磊,李成良.基于C8051F040的直流電機(jī)調(diào)速系統(tǒng)設(shè)計[J].中國科技博覽,2012[12]徐瑋.51單片機(jī)綜合學(xué)習(xí)系統(tǒng)――步進(jìn)電機(jī)控制篇[J].電子制作,2008[13]鄭昊祖.步進(jìn)電機(jī)控制器的設(shè)計[J].中國科技博覽,2010[14]王寶萍.玩具智能小車控制系統(tǒng)的設(shè)計與實現(xiàn)[D].電子科技大學(xué)博碩論文:王寶萍,2012[15]呂程.紅外測溫儀設(shè)計分析[J].計算機(jī)光盤軟件與應(yīng)用,2012致謝附錄1整機(jī)電路原理圖附錄2部分源程序避障程序voidbizhang(){ staticucharvalue; if(distance<20) { value++; if(value>=7) { right_90(); //右轉(zhuǎn)90度 go(); delay_1ms(800); stop(); delay_1ms(200); left_90(); //左轉(zhuǎn)90度 go(); delay_1ms(1800); stop(); delay_1ms(200); left_90(); go(); delay_1ms(100); while((xun_l==1)&&(xun_r==1));//等待回到軌道 right_s_90_while(); //小車右轉(zhuǎn)90度 } } else value=0;}循跡程序//白線為1黑線為0voidxunji(){ if((xun_ll==1)&&(xun_l==1)&&(xun_z==0)&&(xun_r==1)&&(xun_rr==1)) { //xunji:11011 go(); //小車前進(jìn) } if((xun_ll==0)&&(xun_l==0)&&(xun_z==0)&&(xun_r==0)&&(xun_rr==0)) { //xunji:00000 stop(); //小車停下 } if(((xun_ll==1)&&(xun_l==1)&&(xun_z==0)&&(xun_r==0)&&(xun_rr==1))|| ((xun_ll==1)&&(xun_l==1)&&(xun_z==1)&&(xun_r==0)&&(xun_rr==1))|| ((xun_ll==1)&&(xun_l==1)&&(xun_z==1)&&(xun_r==0)&&(xun_rr==0))|| ((xun_ll==1)&&(xun_l==1)&&(xun_z==1)&&(xun_r==1)&&(xun_rr==0))) { //xunji:11001//把從左到右把每一個會讓右轉(zhuǎn)的情況寫出來 //xunji:11101 //xunji:11100 //xunji:11110 right();//小車右轉(zhuǎn) } if(((xun_ll==1)&&(xun_l==0)&&(xun_z==0)&&(xun_r==1)&&(xun_rr==1))|| ((xun_ll==1)&&(xun_l==0)&&(xun_z==1)&&(xun_r==1)&&(xun_rr==1))|| ((xun_ll==0)&&(xun_l==0)&&(xun_z==1)&&(xun_r==1)&&(xun_rr==1))|| ((xun_ll==0)&&(xun_l==1)&&(xun_z==1)&&(xun_r==1)&&(xun_rr==1))) { //xunji:10011//把從右到左把每一個會讓左轉(zhuǎn)的情況寫出來 //xunji:10111 //xunji:00111 //xunji:01111 left(); //小車左轉(zhuǎn) } if((xun_ll==1)&&(xun_z==0)&&(xun_r==0)&&(xun_rr==0)) { //xunji:1X000 go(); delay_1ms(1); if((xun_ll==1)&&(xun_z==0)&&(xun_r==0)&&(xun_rr==0)) { //xunji:1X000 right_s_90_while(); //小車右轉(zhuǎn)90度 } } if((xun_ll==0)&&(xun_l==0)&&(xun_z==0)&&(xun_rr==1)) { //xunji:000X1 go(); delay_1ms(1); if((xun_ll==0)&&(xun_l==0)&&(xun_z==0)&&(xun_rr==1)) { //xunji:000X1 left_s_90_while(); //小車左轉(zhuǎn)90度 } }}超聲波測距程序voidsend_wave(){ c_send=1; //10us的高電平觸發(fā) delay(); c_send=0; TH0=0; //給定時器0清零 TL0=0; TR0=0; //關(guān)定時器0定

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論