第三章-組合邏輯電路課件_第1頁
第三章-組合邏輯電路課件_第2頁
第三章-組合邏輯電路課件_第3頁
第三章-組合邏輯電路課件_第4頁
第三章-組合邏輯電路課件_第5頁
已閱讀5頁,還剩80頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

第三章組合邏輯電路Chapter3CombinationalLogicCircuit3.1概述3.3若干常用中規(guī)模組合邏輯電路3.4組合邏輯電路中的競爭-冒險現(xiàn)象第三章組合邏輯電路《數(shù)字電子技術(shù)》3.2組合邏輯電路的分析和設(shè)計方法第三章組合邏輯電路Chapter3Comb1§3.1概述3.1概述《數(shù)字電子技術(shù)》根據(jù)邏輯功能的不同,可把數(shù)字電路分為組合邏輯電路(CombinationalLogicCircuit)和時序邏輯電路(SequentialLogicCircuit)兩大類。

一、組合邏輯電路的特點

功能上:任意時刻的輸出僅僅取決于該時刻的輸入,而與電路原來的狀態(tài)無關(guān),即無記憶功能。

電路結(jié)構(gòu)上:只由邏輯門組成,不包含記憶元件,輸出和輸入之間無反饋。

二、邏輯功能的描述方式①邏輯函數(shù)表達(dá)式§3.1概述3.1概述《數(shù)字電子技術(shù)》根據(jù)23.1概述《數(shù)字電子技術(shù)》由框圖可知,輸入與輸出之間的邏輯關(guān)系可用一組邏輯函數(shù)表示:圖3.1.1組合邏輯電路的框圖3.1概述《數(shù)字電子技術(shù)》由框圖可知,輸33.1概述《數(shù)字電子技術(shù)》②邏輯電路圖

真值表

卡諾圖

波形圖語言描述組合邏輯電路的其它描述方式——

3.1概述《數(shù)字電子技術(shù)》②邏輯電路圖4§3.2組合邏輯電路的分析和設(shè)計方法3.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》分析和設(shè)計是一對逆過程?!?.2.1組合邏輯電路的分析方法所謂“分析”,即根據(jù)邏輯電路找出電路的邏輯功能。分析的目的:求出邏輯功能或證明給定的邏輯功能正確與否?!?.2組合邏輯電路的分析和設(shè)計方法3.2組合邏輯電53.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》一、分析步驟:(1)分別用符號標(biāo)注各級門的輸出端。

(2)從輸入端到輸出端逐級寫出輸出變量對輸入變量的邏輯表達(dá)式,最后得到輸入變量表示的輸出函數(shù)表達(dá)式。需要時用卡諾圖或公式化簡法化簡邏輯函數(shù)成最簡形式。(3)列真值表。

(4)根據(jù)真值表或函數(shù)表達(dá)式確定電路的邏輯功能。有時功能難以用簡練的語言描述,此時列真值表即可。3.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》一63.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》

二、分析舉例【例1】分析圖3.2.1所示電路的邏輯功能。圖3.2.1【例1】邏輯電路圖表3-2-1【例1】真值表3.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》73.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》§3.2.2組合邏輯電路的設(shè)計方法所謂“設(shè)計”:即根據(jù)給出的實際邏輯問題,求出實現(xiàn)這個邏輯功能的最簡邏輯電路。所謂“最簡”:是指所用器件最少,器件種類最少,而且器件之間的連線也最少。

(1)進(jìn)行邏輯抽象①分析事件的因果關(guān)系,確定輸入和輸出變量;②定義邏輯狀態(tài)的含意;

③根據(jù)因果關(guān)系列出真值表;一、設(shè)計步驟3.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》§83.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》【例2】三人裁判舉重比賽,一個主裁判,兩個副裁判。認(rèn)為杠鈴舉上時,各裁判按自己前面的電鍵(為1),否則不按(為0);裁判結(jié)果用紅綠燈表示,紅綠燈均亮(為1)表示“完全舉上”,只紅燈亮表示“需研究錄像決定”,其余為未舉上。

(1)三個裁判均按下自己的電鍵,紅綠燈全亮;(2)兩個裁判(其中一個為主裁判)按下自己的電鍵,紅綠燈全亮;(3)兩個副裁判或一個主裁判按下自己的電鍵,只紅燈亮;(4)其余情況紅綠燈全滅。

試用兩級與或電路實現(xiàn)滿足上述四種要求的邏輯控制電路。3.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》【93.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》

(2)根據(jù)真值表求出最簡邏輯表達(dá)式;

(3)選定器件的類型:SSI、MSI或PLD等;

(4)根據(jù)器件類型將邏輯函數(shù)化簡或變換成適當(dāng)?shù)男问健?/p>

(5)根據(jù)(4)得出的函數(shù)式畫出邏輯電路圖。圖3.2.2【例2】舉重裁判電路邏輯圖3.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》103.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》二、完整設(shè)計舉例【例3】試用與非門設(shè)計一個將8421-BCD碼轉(zhuǎn)換為余3碼的碼制轉(zhuǎn)換電路(99級國教考題)。3.2組合邏輯電路的分析和設(shè)計方法《數(shù)字電子技術(shù)》二11§3.3若干常用中規(guī)模組合邏輯電路3.3若干常用中規(guī)模組合邏輯電路《數(shù)字電子技術(shù)》§3.3.1編碼器(Encoder)

“編碼”:即為了區(qū)分一系列不同的事物,將其中的每個事物用一個二值代碼表示。編碼器的邏輯功能:把輸入的每一個高、低電平信號變成一個對應(yīng)的二進(jìn)制代碼。目前,一些常用的邏輯電路已經(jīng)制成了中、小規(guī)模集成化電路產(chǎn)品?!?.3若干常用中規(guī)模組合邏輯電路3.3若干常用中規(guī)模12《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路一、普通編碼器(CommonEncoder)特點:任何時刻只允許輸入一個編碼信號,否則將發(fā)生混亂。3位二進(jìn)制普通編碼器示例:圖3.3.13位二進(jìn)制普通編碼器框圖《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路一、普13《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路表3-3-13位二進(jìn)制普通編碼器真值表《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路表3-14《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路由于普通編碼器在任何時刻當(dāng)中僅有一個取值為1,即只有真值表中所列的8種狀態(tài),而且它的()種狀態(tài)均為約束項。因此,由真值表可得到邏輯式:思考1:如何用與非門實現(xiàn)8421-BCD碼普通編碼器?或門實現(xiàn)與非門實現(xiàn)《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路由于普15《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路二、優(yōu)先編碼器(PriorityEncoder)

特點:允許同時輸入兩個以上編碼信號。不過在設(shè)計優(yōu)先編碼器時已經(jīng)將所有的輸入信號按優(yōu)先順序排了隊,當(dāng)幾個輸入信號同時出現(xiàn)時,只對其中優(yōu)先權(quán)最高的一個進(jìn)行編碼。下面以8線-3線優(yōu)先編碼器74LS148為例分析優(yōu)先編碼器的工作原理。74LS148框圖(俯視圖)如下:圖3.3.274LS148的俯視圖《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路二、優(yōu)16《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路圖3.3.374LS148的邏輯圖《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路圖3.17《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路國產(chǎn)半導(dǎo)體集成電路型號命名法C

T

74LS148

E

D符合中國標(biāo)準(zhǔn)器件系列及品種代號工作溫度范圍封裝形式器件類型T:TTLH:HTLE:ECLC:CMOSM:MemoryE:-40~85oCC:0~70oCR:-55~85oCM:-55~125oCD:陶瓷直插P:塑料直插W:陶瓷扁平B:塑料扁平T:金屬圓形《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路國產(chǎn)半18《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路表3-3-274LS148功能表《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路表3-19《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路由邏輯圖和功能表可知:(1)為選通輸入端,只有在(即S=1時)編碼器才能正常工作;而在(即S=0時)所有的輸出端均被封鎖在高電平。且此時,輸出(由功能表第一行體現(xiàn))?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路由邏輯20《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路(2)為選通輸出端,其表達(dá)式為:此式表明:只有當(dāng)所有的編碼輸入端均為高電平(即沒有編碼輸入),且S=1()時,才為低電平。為低電平表示“電路工作,但無編碼輸入”。(功能表第二行所示)?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路(2)21《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路(3)為擴(kuò)展端,用于擴(kuò)展編碼功能,其表達(dá)式為:此式表明:只要任何一個編碼輸入端有低電平信號輸入(即有編碼信號),且S=1(即

),即為低電平。所以,低電平輸出信號表示“電路工作,且有編碼輸入”。(功能表第3——10行所示)?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路(3)22《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路(4)在,且有編碼輸入的工作狀態(tài)下,允許當(dāng)中同時有幾個輸入端低電平,且其中優(yōu)先權(quán)最高,優(yōu)先權(quán)最低。【例1】試用兩片74LS148接成16線-4線優(yōu)先編碼器,將16個低電平輸入信號編為‘0000-1111’16個4位二進(jìn)制代碼,其中的優(yōu)先權(quán)最高,的優(yōu)先權(quán)最低。接成的電路圖如圖3.3.4所示:《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路(4)23《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路思考2:如何用一片74LS148實現(xiàn)8421-BCD碼優(yōu)先編碼器?圖3.3.4用兩片74LS148接成的16線-4線優(yōu)先編碼器邏輯圖《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路思考224《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路§3.3.2譯碼器(Decoder)邏輯功能:將每個輸入的二進(jìn)制代碼對應(yīng)的輸出為高、低電平信號。譯碼是編碼的反操作。常用的譯碼器有二進(jìn)制譯碼器(binarydecoder)、二—十進(jìn)制譯碼器(binary-codeddecimaldecoder)、顯示譯碼器(displaydecoder)等。

一、二進(jìn)制譯碼器(最小項譯碼器)輸入:一組二進(jìn)制代碼輸出:一組與輸入代碼一一對應(yīng)的高、低電平信號?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路§3.25《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路例:3位二進(jìn)制(3線-8線)譯碼器框圖如下所示:二進(jìn)制譯碼器可采用二極管與門陣列或三極管集成門電路等構(gòu)成。圖3.3.53線-8線譯碼器框圖《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路例:326《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路(1)二極管與門陣列譯碼器電路圖3.3.6二極管與門陣列構(gòu)成的3位二進(jìn)制譯碼器電路圖1(3V)1(3V)0(0V)+5V《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路(1)27《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路用二極管與門陣列構(gòu)成的譯碼器:(2)三極管集成門譯碼器電路中規(guī)模(MSI)集成電路通常采用三極管集成門(如TTL)電路。下面以74LS1383線-8線譯碼器為例來分析譯碼器的工作原理:優(yōu)點:結(jié)構(gòu)簡單缺點:1、電路的輸入電阻較低而輸出電阻較高;2、輸出的高、低電平信號發(fā)生偏移(0.7V)。因此,二極管門陣列譯碼器通常用于大規(guī)模(LSI)集成電路中?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路用二極28《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路圖3.3.774LS138框圖及實物圖《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路圖3.29《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路表3-3-374LS138功能表《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路表3-30&&&&&&&&1111111&3-8譯碼器74xx138內(nèi)部邏輯圖&&&&&&&&1111111&3-8譯碼器74xx138內(nèi)31《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路74LS138框圖及其各輸出函數(shù)表達(dá)式如下:最小項譯碼器《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路74L32《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路

二、二-十進(jìn)制譯碼器邏輯功能:將輸入的BCD碼的10個代碼譯成10個高、低電平輸出信號。它屬于碼制變換譯碼器中的一種。4線-10線譯碼器74LS42是二-十進(jìn)制譯碼器的一個典型例子,它將所輸入的8421-BCD碼二進(jìn)制代碼譯成十進(jìn)制代碼0~9。74LS42的功能表(真值表)如下所示:《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路二、33《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路表3-3-474LS42功能表《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路表3-34《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路圖3.3.874LS42邏輯電路圖74LS42邏輯電路圖及各輸出表達(dá)式如下所示:思考:如何實現(xiàn)5421、2421、余3碼等BCD碼的譯碼轉(zhuǎn)換?《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路圖3.35《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路

三、顯示譯碼器邏輯功能:將數(shù)字(0~9)、文字、符號(A~F)等的二進(jìn)制代碼翻譯并顯示出來的電路叫顯示譯碼器。它包括譯碼驅(qū)動電路和數(shù)碼顯示器兩部分。按發(fā)光物質(zhì)分,數(shù)碼顯示器可以分為以下四種類型:1)半導(dǎo)體顯示器,亦稱發(fā)光二極管(LED)顯示器;2)熒光數(shù)字顯示器,如熒光數(shù)碼管、場效發(fā)光數(shù)字板等;3)液晶數(shù)字顯示器,如液晶顯示器(LCD)、電泳顯示器等;4)氣體放電顯示器,如輝光數(shù)碼管、等離子顯示板等?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路36第三章-組合邏輯電路ppt課件37《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路數(shù)碼顯示實物圖《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路數(shù)碼顯38《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路半導(dǎo)體數(shù)碼管(八段)外形圖及等效電路缺點:工作電流較大,每一段工作電流在10mA左右。優(yōu)點:工作電壓低、體積小、壽命長、可靠性高,響應(yīng)時間短(),亮度較高?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路半導(dǎo)體39《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路LED的驅(qū)動電路——

既可以用半導(dǎo)體三極管驅(qū)動,也可以用TTL與非門驅(qū)動?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路LED40《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路有機化合物液晶顯示器結(jié)構(gòu)及工作原理(動態(tài)散射效應(yīng))缺點:亮度很差,響應(yīng)速度較低(10~200ms)。優(yōu)點:功耗極小,工作電壓很低(<1V)?!稊?shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路有機化41《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路例:BCD七段字符譯碼顯示電路圖3.3.97448驅(qū)動BS201A(a)接線圖(b)七段顯示字型數(shù)碼顯示電路譯碼驅(qū)動電路R并=1K×7VCC…《數(shù)字電子技術(shù)》3.3若干常用中規(guī)模組合邏輯電路例:B42《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路11有滅零控制的數(shù)碼顯示系統(tǒng)實例圖《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路143《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路表3-3-574LS48功能表序號輸入輸出A3A2A1A0YaYbYcYdYeYfYg01100001111111011X00011011000021X001011101101……141X111010001111151X111110000000《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路表44《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路

四、譯碼器的應(yīng)用(1)在存儲器中的應(yīng)用用作地址譯碼器或指令譯碼器,譯碼器輸入地址碼,輸出為存儲單元地址。如n位地址線可尋址個單元。

(2)擴(kuò)展應(yīng)用在需進(jìn)行大容量譯碼時,可將芯片進(jìn)行擴(kuò)展。

【例2】試用兩片74LS138組成4線-16線譯碼器,將輸入的4位二進(jìn)制代碼譯成16個獨立的低電平信號?!稊?shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路45《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路圖3.3.10用兩片74LS138接成的4線-16線譯碼器D1D0D2D3+5v如何用74LS138實現(xiàn)5線-32線譯碼器?《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路圖46《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路(3)實現(xiàn)邏輯函數(shù)由于n變量二進(jìn)制譯碼器可以提供變量的個最小項非的輸出,而任何邏輯函數(shù)均可化為最小項之和的標(biāo)準(zhǔn)形式,所以利用二進(jìn)制譯碼器和一些必要的邏輯門可以實現(xiàn)邏輯函數(shù)。

【例3】用74LS138實現(xiàn)函數(shù)F(A,B,C)=AB+AC+BC

【例4】試用74LS138設(shè)計一個多輸出的組合邏輯電路。輸出的邏輯函數(shù)為《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路47《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路【例3】解答圖示&FABC+5V《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路【48《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路注:實現(xiàn)多變量譯碼輸入的邏輯函數(shù)時,可以先擴(kuò)展再按上述方法實現(xiàn)。

思考:如何用74LS138實現(xiàn)組合邏輯函數(shù)(4)有些二進(jìn)制譯碼器還可作數(shù)據(jù)分配器使用?!稊?shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路49《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路數(shù)據(jù)傳輸過程中,有時需要將數(shù)據(jù)分配到不同的數(shù)據(jù)通道上,能夠完成這種功能的電路稱為數(shù)據(jù)分配器,亦稱多路分配器、多路調(diào)節(jié)器,簡稱DEMUX,其電路為單輸入、多輸出形式?!?.3.3數(shù)據(jù)分配器(Demultiplexer)1、DEMUX的應(yīng)用基本用途:有選擇的將一個數(shù)據(jù)送到多路輸出中的一路?!稊?shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路50《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路 2、數(shù)據(jù)分配器的邏輯功能DEMUX的功能如同多位開關(guān)一樣,將輸入D送到選擇輸入指定的通道上(如圖3.3.11所示)。圖3.3.11數(shù)據(jù)分配器示意圖《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路51《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路圖3.3.12數(shù)據(jù)分配器示意圖表3-3-6數(shù)據(jù)分配真值表圖3.3.12所示為一個四路數(shù)據(jù)分配器的邏輯圖,D為被傳輸?shù)臄?shù)據(jù),,是選擇輸入端,為數(shù)據(jù)輸出端?!稊?shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路圖52《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路3、1路-8路DEMUX74138(應(yīng)用(4))74138不僅可以作3線-8線譯碼器,而且還可用作1路-8路數(shù)據(jù)分配器(如圖3.3.13所示)。圖3.3.1374138用作1路-8路數(shù)據(jù)分配器的邏輯符號(a)國際邏輯符號(b)慣用邏輯符號《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路353《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路§3.3.4數(shù)據(jù)選擇器(Multiplexer

)能夠?qū)崿F(xiàn)從多路數(shù)據(jù)中選擇一路進(jìn)行傳輸?shù)碾娐方凶鰯?shù)據(jù)選擇器,亦稱多路調(diào)制器、多路開關(guān)或多路選擇器(簡稱MUX)。

1、數(shù)據(jù)選擇器的邏輯功能MUX的功能正好與DEMUX相反,為多輸入、單輸出形式。目前,常用的MUX有二選一、四選一、八選一和十六選一等多種類型?!稊?shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路§54《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路圖3.3.14四選一數(shù)據(jù)選擇示意圖《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路圖55《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路2、八選一數(shù)據(jù)選擇器74151八選一MUX需要3個選擇輸入端,8個數(shù)據(jù)輸入端,并有互補的原碼和反碼兩種輸出形式。74151的慣用邏輯符號和真值表如下所示:圖3.3.1574151慣用邏輯符號表3-3-774151真值表《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路256《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路3、雙四選一數(shù)據(jù)選擇器7415374153包含兩個完全相同的4選一MUX,兩個MUX有公共的地址輸入端,而數(shù)據(jù)輸入和輸出端各自獨立。通過給定不同的地址代碼(),即可從4個輸入數(shù)據(jù)中選出所需要的一個,并送至輸出端Y。74153的慣用邏輯符號和真值表如下:圖3.3.1674153慣用邏輯符號表3-3-874153真值表《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路357《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路例:試用雙四選一MUX74LS153組成一個8選一MUX。圖3.3.17用雙四選一MUX74153組成8選一MUX示意圖《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路例58《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路

4、數(shù)據(jù)選擇器的應(yīng)用(1)與數(shù)據(jù)分配器(DEMUX)一起實現(xiàn)多路信號分時傳送?!纠?】圖3.3.18多路信號的分時傳送SA《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路59《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路(2)實現(xiàn)組合邏輯函數(shù)原理:若邏輯函數(shù)變量的數(shù)目與數(shù)據(jù)選擇器選擇輸入端的數(shù)目相等,則函數(shù)最小項的數(shù)目就與數(shù)據(jù)選擇器輸入端的數(shù)目相同,這樣可以直接用數(shù)據(jù)選擇器實現(xiàn)邏輯函數(shù)。

【例2】試用74151實現(xiàn)邏輯函數(shù)F(A,B,C)=AB+AC+BC圖3.3.19用74152實現(xiàn)邏輯函數(shù)11110000《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路(60《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路【例3】試用一片74151實現(xiàn)邏輯函數(shù)圖3.3.20用74151實現(xiàn)邏輯函數(shù)(a)引入變量的卡諾圖(b)邏輯圖《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路【61《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路【例4】試用半片雙四選一74153實現(xiàn)交通信號燈故障監(jiān)視電路。思考:如何用一片74151實現(xiàn)邏輯函數(shù)圖3.3.2174151實現(xiàn)交通信號燈監(jiān)視電路圖《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路【62《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路(3)數(shù)據(jù)選擇器的擴(kuò)展

【例5】下圖為一個將十六選一MUX擴(kuò)展為六十四選一MUX的實例。圖3.3.22數(shù)據(jù)選擇器的擴(kuò)展《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路(63《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路使用MUX和DEMUX實現(xiàn)組合邏輯函數(shù)F的共同點是:1)不用對F進(jìn)行化簡,可節(jié)省時間;2)檢查和排除故障容易;3)可以使集成芯片的數(shù)目減到最少。§3.3.5數(shù)值比較器(DigitalComparator

)在一些數(shù)字系統(tǒng)當(dāng)中經(jīng)常要求比較兩個數(shù)字的大小。為完成這一功能所設(shè)計的各種邏輯電路簡稱為數(shù)值比較器?!稊?shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路64《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路一、一位數(shù)值比較器兩個1位二進(jìn)制數(shù)A,B相比的情況有以下幾種:

①A>B(即A=1,B=0),則,所以可用作為A>B的輸出信號。②同理可用作為A<B的輸出信號。③同理可用A⊙B作為A=B的輸出信號。于是,1位數(shù)值比較器的電路圖可如下設(shè)計:圖3.3.231位數(shù)值比較器邏輯圖《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路一65《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路二、多位數(shù)值比較器在比較兩個多位數(shù)的大小時,必須自高而低的逐位比較,而且只有在高位相等時,才需比較較低位。下圖示出了4位比較器CC14585的邏輯圖?!稊?shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路二66《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路在比較兩個4位以上的二進(jìn)制數(shù)時,應(yīng)將兩片以上的CC14585級聯(lián),組合成位數(shù)更多的比較電路。

例:試用兩片CC14585組成一個8位比較器。圖3.3.25將兩片CC14585接成8位數(shù)值比較器《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路67《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路兩個二進(jìn)制數(shù)之間的算術(shù)運算無論是加、減、乘、除,目前在數(shù)字計算機中都是化為若干步加法運算加移位進(jìn)行的。因此,加法器是構(gòu)成算術(shù)運算器的基本單元。

一、1位加法器

1、半加器(HalfAdder)若不考慮有來自低位的進(jìn)位將兩個1位二進(jìn)制數(shù)相加,稱為半加。實現(xiàn)半加運算的電路叫做半加器。半加器的真值表、邏輯表達(dá)式、電路圖和慣用符號如下所示:§3.3.6加法器(Adder

)《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路68《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路表3-3-9半加器的真值表圖3.3.26半加器的電路圖和慣用邏輯符號半加器的邏輯表達(dá)式輸入輸出ABSCO0000011010101101《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路表69《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路

2、全加器(FullAdder)

將兩個多位二進(jìn)制數(shù)相加時,除了最低位以外,每一位都應(yīng)考慮來自低位的進(jìn)位,即將兩個對應(yīng)的加數(shù)和來自低位的進(jìn)位3個數(shù)相加。這種運算稱為全加,所用電路稱為全加器。1位全加器的真值表、邏輯表達(dá)式、電路圖和慣用符號如下所示:表3-3-10全加器的真值表全加器的邏輯表達(dá)式輸入輸出CIABSCO0000000110010100110110010101011100111111《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路70《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路圖3.3.27全加器的電路圖和慣用邏輯符號《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路圖71《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路二、多位加法器

1、串行進(jìn)位加法器

原理:依次將低位全加器的進(jìn)位輸出端CO接到高位全加器的進(jìn)位輸入端CI即可構(gòu)成多位串行加法器。

例:4位串行進(jìn)位加法器電路如下:圖3.3.284位串行加法器電路應(yīng)用舉例:多人表決電路?!稊?shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路二72《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路2、超前進(jìn)位加法器為了提高運算速度,須減小或消除由于進(jìn)位信號逐級傳遞所耗費的時間。由于第i位的進(jìn)位輸入信號一定能由和唯一確定,所以可先得出每一位全加器的進(jìn)位輸入信號,而無需再從最低位開始向高位逐級傳遞進(jìn)位信號了,這就有效的提高了運算速度。采用這種結(jié)構(gòu)形式的加法器為超前進(jìn)位(Carry-Lookahead)加法器。串行進(jìn)位加法器的優(yōu)點:電路結(jié)構(gòu)比較簡單;

缺點:運算速度慢。《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路73《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路下圖示出了4位超前進(jìn)位加法器74LS283的電路圖:圖3.3.2974LS283的邏輯圖《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路下74《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路由圖可知,兩個加數(shù)送到輸入端到完成加法運算只需三級門電路的傳輸時間,這樣,運算速度大大提高了,但電路復(fù)雜程度也隨之急劇上升。為擴(kuò)充相加數(shù)的位數(shù),可將多片低位加法器級聯(lián)。

例:4片74283級聯(lián)成16位二進(jìn)制加法電路的電路為:圖3.3.3074283級聯(lián)成16位二進(jìn)制加法電路《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路75《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路三、用加法器設(shè)計組合邏輯電路對“變量+變量”或“變量+常量”類型的邏輯函數(shù)用加法器設(shè)計起來非常簡單。

例:試設(shè)計一個代碼轉(zhuǎn)換電路,將BCD代碼的8421碼轉(zhuǎn)換成余3碼。圖3.3.31用加法器設(shè)計的代碼轉(zhuǎn)換電路《數(shù)字電子技術(shù)基礎(chǔ)》3.3若干常用中規(guī)模組合邏輯電路三76《數(shù)字電子技術(shù)基礎(chǔ)》3.4組合邏輯電路中的競爭-冒險現(xiàn)象§3.4組合邏輯電路中的競爭-冒險現(xiàn)象一、競爭-冒險現(xiàn)象及成因

圖3.4.1競爭-冒險現(xiàn)象《數(shù)字電子技術(shù)基礎(chǔ)》3.4組合邏輯電路中的競爭-冒險現(xiàn)77《數(shù)字電子技術(shù)基礎(chǔ)》成因:當(dāng)兩個輸入信號同時向相反的邏輯電平跳變時(一個從1變?yōu)?,一個從0變?yōu)?),由于存在時刻上的差異,使兩個信號在的極短時間內(nèi)同時為高電平或低電平,從而產(chǎn)生尖峰脈沖,不符合門電路穩(wěn)態(tài)下的邏輯功能,產(chǎn)生內(nèi)部噪聲。

競爭:門電路兩個輸入信號同時向相反的邏輯電平跳變(一個從1變?yōu)?,一個從

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論