




版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
基于CORDIC算法的實現(xiàn)方法基于LUT的DDS的設計DDS(DirectDigitalSynthesis)直接數(shù)字頻率合成技術由USAJ.Tierncy首先提出。它是一種以數(shù)字信號處理理論為基礎,從相位概念出發(fā)直接合成所需波形的一種新的全數(shù)字技術的頻率合成方法。DDS主要出現(xiàn)在數(shù)字混頻系統(tǒng)中。在數(shù)字混頻中,通過DDS產生正交的本地振蕩信號即正、余弦信號與輸入信號相乘實現(xiàn)頻譜搬移,如通信系統(tǒng)的調制、解調。目前FPGA實現(xiàn)DDS有三種途徑:基于IIR濾波器的實現(xiàn)方法、基于查找表LUT的實現(xiàn)方法以及基于CORDIC算法的實現(xiàn)方法。其中采用LUT的方法較為通用且比較容易實現(xiàn)。1
基于LUT的DDS理論
一個典型的基于LUT的DDS系統(tǒng)由相位累加器和波形存儲器兩部分構成,如圖1所示。圖中相位累加器的位寬為nbit,步進值為μ,LUT的深度N為2n,寬度為Lbit。LUT中依相位順序存儲一個周期的波形數(shù)據(jù)。
圖1
基于LUT的DDS架構2matlab
仿真圖2
相位累加器位寬為4bit時LUT地址與存儲數(shù)據(jù)的對應關系如圖2所示,我們要制作地址位寬為10bit數(shù)據(jù)位寬為32bit的LUT查找表。Matlab源碼如下:clear
allclose
allclcFS=44100;%采樣率fc=1000;N
=1024;%1024點一個正玄周期t=0:2*pi/(N-1):2*pi;sin_dds=sin(t);figure,hold
onplot(t,sin_dds,'*');legend('sin');grid;hold
off
圖3matlab1024點1個周期的正玄波3FPGA仿真
整個仿真結構如圖1所示,由相位累加控制器和sin波形存儲器組成。仿真生成采樣率為44.1KHZ@1KHZ正玄波和余弦波(相位相差90度)。tb_dds源碼:1.
`timescale
1ns
/
1ps
2.
`define
NULL
0
3.
module
tb_dds();
4.
5.
parameter
KHZ1
=44;
6.
parameter
KHZ2
=22;
7.
parameter
KHZ4
=11;
8.
reg
clk;
9.
initial
begin
10.
clk
=
0;
11.
#313333
clk
=
0;
12.
forever
#11.072
clk
=
~clk;
13.
end//45.1584MHZ
14.
15.
reg
reset_n;
16.
initial
begin
17.
reset_n
=
1;
18.
#10000
reset_n
=
0;
19.
#100000
reset_n
=
1;
20.
end
21.
22.
reg
[9:0]
addr;
23.
reg
[9:0]
cnt;
24.
reg
[31:0]
k;
25.
integer
fb;
26.
27.
wire
signed
[31:0]
sin;
28.
wire
signed
[31:0]
cos;
29.
30.
//Phase
accumulation
controller
31.
always
@(posedge
clk
or
negedge
reset_n)
begin
32.
if(!reset_n)
begin
33.
addr
<=
0;
34.
cnt
<=
0;
35.
end
36.
else
if(cnt
==
KHZ1-1)
begin
37.
cnt
<=
0;
38.
addr
<=
addr
+10'd1;
39.
end
40.
else
begin
41.
cnt
<=
cnt
+
1;
42.
addr
<=
addr;
43.
end
44.
end
45.
46.
//reg
[8:0]
i;//88.2khz
47.
reg
[9:0]
i;//44.1khz
Sample
rate
48.
reg
signed
[31:0]
sin_slow;
49.
reg
signed
[31:0]
cos_slow;
50.
51.
always
@(posedge
clk
or
negedge
reset_n)
begin
52.
if(!reset_n)
begin
53.
i
<=
0;
54.
sin_slow
<=
0;
55.
cos_slow
<=
0;
56.
k
<=0;
57.
fb
=
$fopen("sin.dat","w");
58.
if(fb
==
`NULL)
begin
59.
$display("Can
not
open
sin.dat");
60.
$finish;
61.
end
62.
end
63.
else
begin
64.
i
<=
i+1;
65.
if(i
==
0)
begin
66.
sin_slow
<=
sin;
67.
cos_slow
<=
cos;
68.
k
<=
k
+1;
69.
$display("time=[%d],%d",$realtime,sin);
70.
$fwrite(fb,"%d",sin);
71.
if(k
==
4096)
begin
72.
$fclose(fb);
73.
$stop;
74.
end
75.
end
76.
end
77.
end
78.
79.
80.
81.
dds_rom
U_dds_rom(
82.
.clk(clk),
83.
.addr(addr),//0-1023
1T
84.
.sin(sin),
85.
.cos(cos)
86.
);
87.
endmodule
仿真結
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- JG/T 233-2008建筑門窗用通風器
- JG/T 206-2018外墻外保溫用丙烯酸涂料
- JG/T 189-2006電動采光排煙天窗
- JG/T 144-2016門式剛架輕型房屋鋼構件
- GB/T 43412-2023金屬薄板電阻點焊推薦工藝規(guī)范
- DZ/T 0276.27-2015巖石物理力學性質試驗規(guī)程第27部分:巖體變形試驗(鉆孔變形法)
- DZ/T 0175-2014煤田地質填圖規(guī)范1∶50 0001∶25 0001∶10 0001∶5 000
- DZ/T 0166-1995實驗室用單槽浮選機
- DZ/T 0002.3-1997含煤巖系鉆孔巖芯描述標準-巖性巖類部分
- DZ 47-1987石油地震勘探技術規(guī)范
- T/BCEA 001-2022裝配式建筑施工組織設計規(guī)范
- 2025年《高級養(yǎng)老護理員》考試練習題庫含答案
- 骨科手術圍手術期管理
- 中學生英語詞匯表3500(全)
- 2025年檔案法制知識競賽題庫(含答案)
- 2025年福建省中考生物沖刺卷模擬檢測卷(含答案)
- 2025國家開放大學《人類發(fā)展與環(huán)境保護》形成性考核123答案+終結性考試答
- 【MOOC】電子技術實驗-北京科技大學 中國大學慕課MOOC答案
- 《綜合能源供應服務站建設規(guī)范》
- 關于南通城市規(guī)劃評價分析
- 上海市互聯(lián)網(wǎng)租賃自行車管理辦法
評論
0/150
提交評論