數(shù)字系統(tǒng)EDA技術(shù)-1_第1頁
數(shù)字系統(tǒng)EDA技術(shù)-1_第2頁
數(shù)字系統(tǒng)EDA技術(shù)-1_第3頁
數(shù)字系統(tǒng)EDA技術(shù)-1_第4頁
數(shù)字系統(tǒng)EDA技術(shù)-1_第5頁
已閱讀5頁,還剩51頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

數(shù)字系統(tǒng)EDA技術(shù)

任課教師:竇衡

聯(lián)系地點:清水河科研樓B425

電話:83207082E-mail:douheng@課件下載:網(wǎng)絡(luò)學(xué)堂->電子工程學(xué)院

1本課程安排:學(xué)時數(shù):48學(xué)時(課堂教學(xué)24學(xué)時,實驗24學(xué)時)課堂教學(xué)內(nèi)容:第一章、EDA技術(shù)概述(2)第二章、大規(guī)??删幊踢壿嬈骷?)第三章、VHDL硬件描述語言(10)第四章、實驗開發(fā)平臺及工具軟件介紹(4)第五章、Niosii設(shè)計介紹(2)第六章、VHDL設(shè)計應(yīng)用實例(4)2實驗教學(xué)內(nèi)容及要求:

分6次共24學(xué)時。實驗一:十進(jìn)制計數(shù)器設(shè)計與仿真(1次);實驗二:DE2-115開發(fā)板接口應(yīng)用(1次)

實驗三:簡單處理器的設(shè)計及驗證(2次);實驗四:NIosⅱ的創(chuàng)建和應(yīng)用(2次);

掌握EDA開發(fā)工具QuartusII、Modelsim。從簡單的電路設(shè)計入手,到最后能夠設(shè)計比較復(fù)雜的電子系統(tǒng)。培養(yǎng)利用EDA技術(shù)設(shè)計電路系統(tǒng)的實際動手能力。

3

教學(xué)目的:

了解一類可編程邏輯器件,掌握一門硬件描述語言,熟悉使用一類EDA設(shè)計工具,設(shè)計自己的芯片。注重實踐鍛煉,培養(yǎng)綜合設(shè)計能力??己朔绞剑?/p>

平時(10%)+實驗(30%)+期末(60%)4教材及參考資料教材:

《EDA技術(shù)及應(yīng)用》--VHDL版(第三版)譚會生、張昌凡編著西安電子科技大學(xué)出版社參考資料:

《AlteraFPGA/CPLD設(shè)計》(基礎(chǔ)篇、高級篇)

王誠等編著人民郵電出版社

《VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計》

侯伯亨顧新等編著西安電子科技大學(xué)出版社

《CPLD/FPGA的開發(fā)和應(yīng)用》徐光輝等編著

電子工業(yè)出版社5EDA技術(shù)的相關(guān)網(wǎng)址:

……6

第一章EDA技術(shù)概況1.1EDA技術(shù)及其發(fā)展

1.什么是EDA?

ElectronicDesignAutomation__電子設(shè)計自動化EDA技術(shù)的發(fā)展過程:7EDA技術(shù)發(fā)展的三個階段:

1)早期電子CAD階段

20世紀(jì)70年代,屬EDA技術(shù)發(fā)展初期。利用計算機、二維圖形編輯與分析的CAD工具,完成布圖布線等高度重復(fù)性的繁雜工作。典型設(shè)計軟件如Tango布線軟件。8EDA技術(shù)發(fā)展的三個階段:

2)計算機輔助工程設(shè)計CAE階段

20世紀(jì)80年代初,出現(xiàn)了低密度的可編程邏輯器件(PAL_ProgrammableArrayLogic和GAL_GenericArrayLogic),相應(yīng)的EDA開發(fā)工具主要解決電路設(shè)計沒有完成之前的功能檢測等問題。

80年代后期,EDA工具已經(jīng)可以進(jìn)行初級的設(shè)計描述、綜合、優(yōu)化和設(shè)計結(jié)果驗證。

9EDA技術(shù)發(fā)展的三個階段:

3)電子系統(tǒng)設(shè)計自動化階段

20世紀(jì)90年代,可編程邏輯器件迅速發(fā)展,出現(xiàn)功能強大的全線EDA工具。具有較強抽象描述能力的硬件描述語言(VHDL、VerilogHDL)及高性能綜合工具的使用,使過去單功能電子產(chǎn)品開發(fā)轉(zhuǎn)向系統(tǒng)級電子產(chǎn)品開發(fā)(即SOC_SystemOnaChip:單片系統(tǒng)、或片上系統(tǒng))。

開始實現(xiàn)“概念驅(qū)動工程”(ConceptDriverEngineering,CDE)的夢想。10集成電路設(shè)計方法的發(fā)展過程11EDA的廣義定義范圍包括:

半導(dǎo)體工藝設(shè)計自動化、可編程器件設(shè)計自動化、電子系統(tǒng)設(shè)計自動化、印刷電路板設(shè)計自動化、仿真與測試、故障診斷自動化、形式驗證自動化、

……統(tǒng)稱為EDA工程12EDA技術(shù)的狹義定義:

以大規(guī)??删幊踢壿嬈骷樵O(shè)計載體,以硬件描述語言為系統(tǒng)邏輯描述的主要表達(dá)方式,以計算機、大規(guī)??删幊唐骷拈_發(fā)軟件及實驗開發(fā)系統(tǒng)為設(shè)計工具,自動完成用軟件方式描述的電子系統(tǒng)到硬件系統(tǒng)的邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合及優(yōu)化、布局布線、邏輯仿真,直至完成對于特定目標(biāo)芯片的適配編譯、邏輯映射、編程下載等工作,最終形成集成電子系統(tǒng)或?qū)S眉尚酒囊婚T多學(xué)科融合的新技術(shù)。

13應(yīng)用介紹:數(shù)字電視傳輸復(fù)用系統(tǒng)中的數(shù)字電視復(fù)用器14應(yīng)用介紹:雷達(dá)系統(tǒng)中的信號處理與時序控制器151.2傳統(tǒng)設(shè)計方法和EDA方法的區(qū)別:傳統(tǒng)設(shè)計方法:

自下而上(Bottom-up)的設(shè)計方法。

上上設(shè)計分解構(gòu)造系統(tǒng)下下16設(shè)計分解:

1.確定設(shè)計目標(biāo)

2.功能模塊分解

3.進(jìn)一步細(xì)分,直至可用市面上買到的元器件構(gòu)建此模塊為止構(gòu)造系統(tǒng):

1.用市面上可買到的元器件構(gòu)建最底層模塊

2.用較低一層模塊構(gòu)造較高一層模塊

3.構(gòu)造頂層模塊

4.測試驗證與分析17固定功能元件電路板設(shè)計完整系統(tǒng)構(gòu)成系統(tǒng)測試與性能分析傳統(tǒng)設(shè)計方法流程:1819傳統(tǒng)設(shè)計方法:自下而上(Bottom-up)的設(shè)計方法,是以固定功能元件為基礎(chǔ),基于電路板的設(shè)計方法。固定功能元件電路板設(shè)計完整系統(tǒng)構(gòu)成系統(tǒng)調(diào)試、測試與性能分析系統(tǒng)功能需求輸入輸出傳統(tǒng)設(shè)計方法的缺點:

1.設(shè)計依賴于手工和經(jīng)驗。

2.設(shè)計依賴于現(xiàn)有的通用元器件。

3.設(shè)計后期的驗證(仿真)和調(diào)試。

4.自下而上設(shè)計思想的局限。

5.設(shè)計實現(xiàn)周期長,靈活性差,耗時耗力,效率低下。

20

EDA設(shè)計方法:

1、設(shè)計思想不同:自上而下(Top-Down)的設(shè)計方法。

自上而下是指將數(shù)字系統(tǒng)的整體逐步分解為各個子系統(tǒng)和模塊,若子系統(tǒng)規(guī)模較大,則還需將子系統(tǒng)進(jìn)一步分解為更小的子系統(tǒng)和模快,層層分解,直至整個系統(tǒng)中各個子系統(tǒng)關(guān)系合理,并便于邏輯電路級的設(shè)計和實現(xiàn)為止。自上而下設(shè)計中可逐層描述,逐層仿真,保證設(shè)計實現(xiàn)并滿足系統(tǒng)指標(biāo)。

21ASIC:ApplicationSpecificIntegratedCircuits,PLD:ProgrammableLogicDevices系統(tǒng)規(guī)格設(shè)計功能級描述、仿真模塊化分、仿真邏輯綜合、優(yōu)化、布局布線時序仿真、時序檢查輸出門級網(wǎng)表ASIC芯片投片、PLD器件編程、測試EDA方法:(Top-Down)22

與傳統(tǒng)的基于電路板的設(shè)計方法不同,

EDA技術(shù)是基于芯片的設(shè)計方法:

可編程邏輯器件芯片設(shè)計電路板構(gòu)成電子系統(tǒng)232、描述方式不同:傳統(tǒng)設(shè)計方法采用電路圖為主;

EDA設(shè)計方法以硬件描述語言(HDL__HarddescriptionLanguage)為主3、設(shè)計手段不同:傳統(tǒng)設(shè)計方法以手工設(shè)計為主;

EDA設(shè)計方法為自動實現(xiàn)。其方案驗證與設(shè)計、系統(tǒng)邏輯綜合、布局布線、性能仿真、器件編程等均由EDA工具一體化完成。24傳統(tǒng)方法與EDA方法比較:

傳統(tǒng)方法1.自下至上(BottomUp)2.通用的邏輯元、器件3.系統(tǒng)硬件設(shè)計的后期進(jìn)行仿真和調(diào)試4.主要設(shè)計文件是電原理圖5.手工實現(xiàn)

EDA方法1.自上至下(TopDown)2.PLD(可編程邏輯器件)3.系統(tǒng)設(shè)計的早期進(jìn)行仿真和修改4.多種設(shè)計文件,發(fā)展趨勢以HDL描述文件為主5.自動實現(xiàn)

EDA技術(shù)極大地降低硬件電路設(shè)計難度,提高設(shè)計效率,是電子系統(tǒng)設(shè)計方法的質(zhì)的飛躍。251.3EDA技術(shù)的主要內(nèi)容實現(xiàn)載體:大規(guī)??删幊踢壿嬈骷≒LD__ProgrammableLogicDevice)描述方式:硬件描述語言(HDL__HarddescriptionLanguage)VHDL、VerilogHDL等設(shè)計工具:開發(fā)軟件、開發(fā)系統(tǒng)硬件驗證:實驗開發(fā)系統(tǒng)26

FPGA__FieldProgrammableGatesArrayCPLD__ComplexProgrammableLogicDevice

主流公司:Xilinx、Altera、LatticeFPGA/CPLD顯著優(yōu)點:開發(fā)周期短、產(chǎn)品上市速度快、投資風(fēng)險小、市場適應(yīng)能力強、硬件修改升級方便。1.大規(guī)??删幊踢壿嬈骷?7

三類器件的主要性能指標(biāo)比較28ASIC:ApplicationSpecificIntegratedCircuits

VHDL:IEEE標(biāo)準(zhǔn),系統(tǒng)級抽象描述能力較強。

Verilog:IEEE標(biāo)準(zhǔn),門級開關(guān)電路描述能力較強。

ABEL:系統(tǒng)級抽象描述能力差,適合于門級電路描述。2.硬件描述語言(HDL__HardwareDescriptionLanguage)29

系統(tǒng)級(SystemLevel)

算法級(AlgorithmicLevel)

寄存器傳輸級(RegisterTransferLevel)

門級(GateLevel)

電路級(CircuitLevel)VHDLVerilogHDL30

硬件描述語言(HDL__HardDescriptionLanguage)具有抽象的系統(tǒng)描述能力,是現(xiàn)代EDA技術(shù)的切入點,是設(shè)計者與設(shè)計自動化工具之間的橋梁??蓪崿F(xiàn)系統(tǒng)級的仿真和邏輯綜合。據(jù)此實現(xiàn)大規(guī)模集成電路的設(shè)計,可極大縮短Time-to-Market時間。硬件描述語言的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論