北方華創(chuàng)-市場前景及投資研究報告-開創(chuàng)啟程領(lǐng)跑未來_第1頁
北方華創(chuàng)-市場前景及投資研究報告-開創(chuàng)啟程領(lǐng)跑未來_第2頁
北方華創(chuàng)-市場前景及投資研究報告-開創(chuàng)啟程領(lǐng)跑未來_第3頁
北方華創(chuàng)-市場前景及投資研究報告-開創(chuàng)啟程領(lǐng)跑未來_第4頁
北方華創(chuàng)-市場前景及投資研究報告-開創(chuàng)啟程領(lǐng)跑未來_第5頁
已閱讀5頁,還剩44頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

證券研究報告北方華創(chuàng)(002371.SZ):開創(chuàng)芯啟程,領(lǐng)跑芯未來股票投資評級:買入|維持2023年10月6日北方華創(chuàng)·業(yè)務(wù)版圖半導(dǎo)體裝備精密元器件真空裝備電源模塊PowerSupplyModule等離子體刻蝕設(shè)備石墨純化設(shè)備GraphitepurificationFurnaceEtch物理氣相沉積設(shè)備

?

集成電路IC;晶體器件Crystal

Device化學(xué)氣相沉積工藝設(shè)備PVDCVD/CVI

Furnace?

先進(jìn)封裝;?

先進(jìn)陶瓷;?

金屬熱處理;?

碳材;連續(xù)高溫設(shè)備Continuoushigh-temperatureFurnace化學(xué)氣相沉積設(shè)備精密電阻器?

功率半導(dǎo)體;CVDPrecisionResistor?

化合物半導(dǎo)體;長晶爐設(shè)備微波組件Microwave

Module燒結(jié)工藝設(shè)備SinteringFurnacePVT?

硅基微型顯?

真空電子元示;器件;氧化擴(kuò)散設(shè)備鉭電容器TantalumCapacitor先進(jìn)熱處理工藝設(shè)備Heat

TreatmentFurnaceFurnace?

半導(dǎo)體顯示?

復(fù)合集流體及照明;行業(yè);濕法設(shè)備針焊工藝設(shè)備BrazingFurnace?

科研領(lǐng)域;?

氫能行業(yè);WET紫外線固化爐?

新能源光伏;

平臺型企業(yè)物理氣相沉積工藝設(shè)備?

新能源光伏;UV

CurePVD

Furnace?

平板顯示及?

磁性材料行智能自動化;業(yè);移載傳輸設(shè)備單晶爐設(shè)備SingleCrystalGrowingFurnaceIndexer?

襯底材料;?

客戶服務(wù);?

備品備件?

鋰電池極片電池制造釹鐵硼晶界擴(kuò)散工藝設(shè)備NdFeB

grainboundarydiffusionprocessequipment輔助設(shè)備AncillaryEquipment鋰離子電池極片制造設(shè)備Li-ionBatteryElectrode氣體測量控制GasMeasuring

ControlManufacturingEquipment2資料:公司官網(wǎng),中郵證券研究所投資要點?

平臺化布局半導(dǎo)體工藝裝備+真空及鋰電裝備+精密電子元器件,跨入“百億級”發(fā)展新階段。公司由七星電子與北方微電子戰(zhàn)略重組成立,作為國內(nèi)主流高端電子工藝裝備供應(yīng)商與重要高精密電子元器件生產(chǎn)基地,在半導(dǎo)體工藝裝備領(lǐng)域,公司覆蓋刻蝕、薄膜、清洗、熱處理、晶體生長等核心工藝裝備,廣泛應(yīng)用于邏輯器件與存儲器件等工藝制造過程,助力半導(dǎo)體。在真空及鋰電裝備領(lǐng)域,公司研發(fā)的晶體生長設(shè)備等為新材料、新工藝、新能源等綠色制造賦能。在精密電子元器件領(lǐng)域,公司研發(fā)的石英晶體器件等產(chǎn)品推動元器件向小型化、輕量化、高精密方向發(fā)展。隨著電子工藝裝備的持續(xù)突破,2022年公司跨入“百億級”營收發(fā)展新階段。?

加碼研發(fā)擴(kuò)大工藝覆蓋范圍,長效激勵構(gòu)筑堅實人才基礎(chǔ)。以全球晶圓廠設(shè)備分類占比23%/22%的薄膜/刻蝕設(shè)備為例,刻蝕裝備方面,公司發(fā)布了雙頻耦合CCP介質(zhì)刻蝕機(jī),實現(xiàn)硅刻蝕、金屬刻蝕、介質(zhì)刻蝕工藝全覆蓋。薄膜裝備方面,公司的銅互聯(lián)薄膜/鋁薄膜/鎢薄膜/硬掩膜/介質(zhì)膜/TSV薄膜/背面金屬沉積等二十余款產(chǎn)品成為國內(nèi)主流芯片廠的優(yōu)選機(jī)臺。同時公司在立式爐/臥式爐/外延/清洗裝備方面不斷擴(kuò)大工藝覆蓋范圍。另外,2018/2019/2022年三期股權(quán)激勵計劃的實施彰顯公司長期發(fā)展信心,構(gòu)筑堅實人才基礎(chǔ)。?

國內(nèi)未來255.1萬片/月(折合12吋)待擴(kuò)產(chǎn),疊加以及先進(jìn)封裝等驅(qū)動,平臺型公司大有可為。公司在手訂單充足,2023年1-5月新增訂單相較去年同期增長超過30%,其中以集成電路設(shè)備訂單為主;考慮到國內(nèi)未來255.1萬片/月(折合12吋)待擴(kuò)產(chǎn),疊加因素,公司有望憑借刻蝕、薄膜、清洗、熱處理、晶體生長等核心工藝裝備的平臺化布局獲得可觀訂單,支撐未來業(yè)績增長。隨著以TSV技術(shù)為代表的先進(jìn)封裝成為芯片集成的重要途徑,公司憑借在TSV制作環(huán)節(jié)的產(chǎn)品布局如PSE

V300等有望獲得更多訂單。盈利預(yù)測和財務(wù)指標(biāo)項目\年度2022A14,688.11

20,664.59

26,695.46

34,475.0251.68

40.69

29.18

29.143,355.06

4,852.10

6,293.85

7,990.132023E2024E2025E?

盈利預(yù)測:我們預(yù)計公司2023/2024/2025年分別實現(xiàn)收入207/267/345億元,實現(xiàn)歸母凈利

38/51/66

當(dāng)

應(yīng)2023-2025年P(guān)E分別為33倍、25倍、19倍,維持“買入”評級。營業(yè)收入(百萬元)增長率(%)EBITDA(百萬元)歸屬母公司凈利潤(百萬元)

2,352.73

3,827.63

5,098.97

6,570.91增長率(%)118.374.4462.697.2233.219.6228.8712.4019.463.64EPS(元/股)市盈率(P/E)市凈率(P/B)EV/EBITDA?

風(fēng)險提示:外部環(huán)境不確定性風(fēng)險;技術(shù)迭代54.356.4833.415.4425.084.47風(fēng)險;人力資源風(fēng)險;下游擴(kuò)產(chǎn)不及預(yù)期風(fēng)險。33.6124.5218.4213.96資料:公司公告,中郵證券研究所3平臺化布局半導(dǎo)體工藝裝備+真空及鋰電裝備+精密電子元器件,跨入“百億級”發(fā)展新階段一二加碼研發(fā)擴(kuò)大工藝覆蓋范圍,長效激勵構(gòu)筑堅實人才基礎(chǔ)目錄國內(nèi)未來255.1萬片/月(折合12吋)待擴(kuò)產(chǎn),三四以及先進(jìn)封裝等驅(qū)動訂單增長盈利預(yù)測4一平臺化布局半導(dǎo)體工藝裝備+真空及鋰電裝備+精密電子元器件,跨入“百億級”發(fā)展新階段5發(fā)展歷程2019.122020.32018.82018.4完成首次股票期權(quán)激勵計劃授予登記北方華創(chuàng)非公開發(fā)行股票上市,國家集成電路基金、北京電控和京國瑞基金參與本次認(rèn)購北方華創(chuàng)完成2019年股票期權(quán)與限制性股票激勵計劃股票期權(quán)授予登記完成在美國加利福尼亞州設(shè)立NAURAResearch

Inc.(北方華創(chuàng)美國硅谷研究院)2022.122019.12020.3銷售收入首次突破百億元2018.1北方華創(chuàng)微電子獲得國家級企業(yè)技術(shù)中心資質(zhì)北方華創(chuàng)收購北廣科技射頻應(yīng)用技術(shù)相關(guān)資產(chǎn)正式簽約完成對美國Akrion

Systems

LLC公司收購20182019202020222017201620152012201020012016.82015.102012.92010.3北京電控整合原國營700廠、706廠、707廠、718廠、797廠、798廠的優(yōu)質(zhì)2001.92017.2七星電子與北方微電子戰(zhàn)略重組七星電子更名為北方華創(chuàng)科技集團(tuán)股份有限公司,推出全新品牌“北方華創(chuàng)”(NAURA)七星電子完成上市之后第一次再融資七星電子與北方微電子重組之旅開啟七星電子在深圳證券交易所上市資產(chǎn)和業(yè)務(wù),以七星集團(tuán)為主發(fā)起人設(shè)立七星電子2016.9北方微電子由北京電控聯(lián)合七星集團(tuán)、清華大學(xué)、北京大學(xué)、中科院微電子所和中科院光電技術(shù)研究所共同出資設(shè)立2001.10北方微電子更名為北京北方華創(chuàng)微電子裝備有限公司北方華創(chuàng)真空北方華創(chuàng)微電子真空設(shè)備半導(dǎo)體裝備北方華創(chuàng)新能源七星華創(chuàng)精密電子新能源鋰電設(shè)備電子元器件資料:公司官網(wǎng),中郵證券研究所6股權(quán)結(jié)構(gòu)圖表1:公司股權(quán)結(jié)構(gòu)(截至2023年6月30日)國家集成電路產(chǎn)業(yè)投資基金二期國家香港中央結(jié)算有限公司北京京國瑞國企改革發(fā)展基金(有限合伙)國聯(lián)安基金七星集團(tuán)北京電控集成電路產(chǎn)業(yè)投資基金諾安基金華夏基金興全基金其他33.62%9.43%6.42%4.32%1.52%1.24%1.17%1.15%0.93%0.84%39.36%北方華創(chuàng)科技集團(tuán)股份有限公司14%100%100%100%100%100%91.18%10%5.26%4%北京飛行博達(dá)電子有限公司北京北方華創(chuàng)微電子裝備有限公司北京七星華創(chuàng)精密電子科技有限責(zé)任公司北京北方華創(chuàng)真空技術(shù)有限公司廣州中科同芯半導(dǎo)體技術(shù)合伙企業(yè)(有限合伙)長江先進(jìn)存儲產(chǎn)業(yè)創(chuàng)新中心有限責(zé)任公司芯鏈融創(chuàng)集成電路產(chǎn)業(yè)發(fā)展(北京)有限公司北京七星華創(chuàng)集成電路裝備有限公司北京諾華資本投資管理有限公司2.08%1.3%0.18%SEVEN

STAR

ELECTRONICSINCORPORATED北京京國管股權(quán)投資基金(有限合伙)上海集成電路裝備材料產(chǎn)業(yè)創(chuàng)新中心有限公司北京七星華創(chuàng)微電子有限責(zé)任公司資料:Wind,中郵證券研究所7電子工藝裝備持續(xù)突破,跨入“百億級”發(fā)展新階段?

作為國內(nèi)主流高端電子工藝裝備供應(yīng)商以及重要的高精密電子元器件生產(chǎn)基地,公司的主要產(chǎn)品為電子工藝裝備(主要包括半導(dǎo)體裝備、真空裝備和新能源鋰電設(shè)備)和電子元器件(主要包括電阻、電容、晶體器件、模塊電源、微波組件等)。?

半導(dǎo)體行業(yè)周期波動下,憑借強(qiáng)勁的產(chǎn)品實力,公司業(yè)績穩(wěn)健增長,2022年實現(xiàn)營收146.88億元,正式跨入“百億級”發(fā)展新階段。根據(jù)公司5月31日披露的投資者關(guān)系活動記錄表,公司在手訂單充足,2023年1-5月新增訂單相較去年同期增長超過30%,其中以集成電路設(shè)備訂單為主,支撐公司未來業(yè)績增長。圖表2:2018-2023H1公司各業(yè)務(wù)營收(億元)圖表3:2018-2023H1公司各業(yè)務(wù)營收占比16014012010080146.88100%13%90%80%70%60%50%40%30%20%10%0%19%80%18%82%18%82%21%79%24%76%25.7496.8384.2717.1510.5987%60.5611.6560120.8440.588.4733.247.884079.4973.4948.692031.9125.21020182019202020212022

2023H1電子工藝裝備電子元件其他業(yè)務(wù)電子工藝裝備電子元件其他業(yè)務(wù)

總計資料:Wind,中郵證券研究所資料:Wind,中郵證券研究所8毛利率區(qū)間波動相對穩(wěn)健,持續(xù)擴(kuò)充研發(fā)?

毛利率區(qū)間波動相對穩(wěn)?。浩渌麡I(yè)務(wù)占比極低,公司綜合毛利率主要受電子工藝裝備與電子元件影響。近年來,隨著公司新產(chǎn)品的推出以及產(chǎn)品力優(yōu)勢,整體毛利率在35%-45%區(qū)間波動。?

規(guī)模效應(yīng)不斷凸顯:2018-2023H1,公司的銷售/管理/財務(wù)費用率基本呈下降趨勢,規(guī)模效應(yīng)不斷凸顯。?

研發(fā)方面:公司2022年研發(fā)資金投入35.66億元,申請專利900余件,獲得授權(quán)專利600余件,研發(fā)人員2,929人,占比29.27%,研發(fā)資金投入與申請專利數(shù)連續(xù)三年實現(xiàn)穩(wěn)步增長。公司將保持高強(qiáng)度的研發(fā)投入力度,加快新產(chǎn)品開發(fā)和新技術(shù)預(yù)研。圖表4:2018-2023H1公司各主營業(yè)務(wù)毛利率圖表5:2018-2023H1公司相關(guān)費用率16%11%6%85%75%65%55%45%35%25%15%5%80%13%13%13%72%49%73%53%70%69%61%67%60%11%66%11%10%44%42%41%38%41%39%38%37%38%35%35%33%1%29%-4%20182019202020212022

2023H1銷售費用率研發(fā)費用率管理費用率財務(wù)費用率綜合電子工藝裝備電子元件其他業(yè)務(wù)資料:Wind,中郵證券研究所資料:Wind,中郵證券研究所9規(guī)模效應(yīng)持續(xù)凸顯,盈利能力不斷增強(qiáng)?

受益于公司半導(dǎo)體設(shè)備業(yè)務(wù)市場占有率的穩(wěn)步提升及經(jīng)營效率的不斷提高,公司歸母凈利潤和扣非歸母凈利潤保持穩(wěn)健增長,2023H1公司實現(xiàn)歸母凈利潤/扣非歸母凈利潤17.99/16.09億元,分別同比增長149%/138%。圖表6:2018-2023H1公司歸母、扣非后歸母凈利潤(億元)以及各自增長率(%)252015105350%300%250%200%150%100%50%23.5321.06309%17.9916.09181%74%161%149%137%86%10.77101%8.07138%118%32%3.095.372.341.970%-8%0.760.700-50%201820192020202120222023H1歸屬母公司股東的凈利潤扣非后歸屬母公司股東的凈利潤歸母同比(%)扣非歸母同比(%)資料:Wind,中郵證券研究所10二加碼研發(fā)擴(kuò)大工藝覆蓋范圍,長效激勵構(gòu)筑堅實人才基礎(chǔ)11刻蝕技術(shù)概覽介質(zhì)刻蝕氧化硅、氮化硅、二氧化鉿、光刻膠一般使用電容耦合等離子體刻蝕機(jī)(CCP)49%按照刻硅刻蝕單晶硅、多晶硅、硅化物蝕48%一般使用電感耦合等離子體刻蝕機(jī)(ICP)材料分金屬刻蝕類鋁、鎢各向同性各向異性3%干法刻蝕90%一種物理干法加工工藝,利用高能氬離子束以大約1至3keV的能量照射在材料表面上優(yōu):由于是垂直輻射,因此在垂直墻壁上的磨損非常小(高各向異性)離子束刻蝕(Ion

BeamEtching,IBE)按劣:由于其選擇性較低且蝕刻速率較慢,這種工藝在當(dāng)前的半導(dǎo)體制造中很少使用照刻一種絕對化學(xué)刻蝕工藝,也稱為化學(xué)干法刻蝕(Chemical

dry

etch)優(yōu):不會導(dǎo)致晶圓表面的離子損傷局限:由于蝕刻氣體中的活性粒子可自由移動,蝕刻過程是各向同性的,因此該方法適用于去除整個薄膜層(例如,清除經(jīng)過熱氧化后的背面)蝕等離子刻蝕(Plasma

etch)刻蝕技術(shù)工藝分非常精確控制選擇性、蝕刻輪廓、蝕刻速率、均勻性和可重復(fù)性的化學(xué)物理蝕刻工藝優(yōu):可實現(xiàn)各向同性和各向異性的蝕刻輪廓,構(gòu)建各種薄膜的最重要工藝之一劣:選擇性并不是非常高,因為物理蝕刻過程也會發(fā)生。此外,離子的加速會對晶圓表面造成損傷,需要進(jìn)行熱退火來修復(fù)類反應(yīng)離子蝕刻(Reactiveion

etching,RIE)5[μm]技術(shù)【nm】濕法刻蝕干法刻蝕特定方向(各向異性)等離子體(陽離子、自由基)物理+化學(xué)技術(shù)進(jìn)步化學(xué)刻蝕所有方向(各向同性)刻蝕方向使用的材料反應(yīng)方式精細(xì)圖案濕法刻蝕10%液體溶液化學(xué)所需設(shè)備昂貴目標(biāo)選擇問題吞吐量問題電解刻蝕快速度慢資料:寬禁帶半導(dǎo)體技術(shù)創(chuàng)新聯(lián)盟微信號,SJT錦雅電子微信號,IMECAS硅光子微信號,馭勢資本,知乎,芯ONE微信號,中郵證券研究所12干法蝕刻(dry

etch)工藝主要表征蝕刻速率

(etchrate)均勻性

(uniformity)ER=high/timeP-substrate單位時間內(nèi)去除蝕刻材料的深度或厚度選擇比

(selectivity)S=ER1/ER2衡量蝕刻關(guān)鍵尺寸(CD)均勻性,一般用CD的full

map表征,公式為:U=(Max-Min)/2*AVGhigh1high2P-substrate終點探測

(end

point)不同蝕刻材料的蝕刻速率比值PerturbationIndicatingEndpoint關(guān)鍵尺寸

(critical

dimension)CDP-substrateTime在蝕刻過程中時刻檢測光強(qiáng)的變化,當(dāng)某一特定光強(qiáng)發(fā)生明顯上升或下降時終止蝕刻,以此標(biāo)志某一層film蝕刻的完成蝕刻完成后特定區(qū)域圖形尺寸大小:半導(dǎo)體材料與工藝微信號,芯ONE微信號,中郵證券研究所資料13刻蝕設(shè)備:硅刻蝕、金屬刻蝕、介質(zhì)刻蝕工藝全覆蓋圖表7:公司等離子體刻蝕設(shè)備部分匯總(晶圓尺寸、適用材料與工藝)刻蝕機(jī)類別多晶硅刻蝕機(jī)金屬刻蝕機(jī)型號晶圓尺寸6/8吋兼容6/8吋兼容6/8吋兼容6/8吋兼容12吋適用材料適用工藝NMC

508C/GNMC

508MNMC

508RIENMC

508GtNMC

612MNMC

612GNMC

612CNMC

612DPSEV300硅多晶硅刻蝕、硅刻蝕、多晶硅柵極刻蝕、淺槽隔離刻蝕等頂層金屬刻蝕、中間層金屬刻蝕等鋁、氮化鈦、鉬、鎢、氧化銦錫等介質(zhì)刻蝕機(jī)氧化硅、氮化硅、氮氧化硅鈍化層、硬掩膜、接觸孔、導(dǎo)線孔、側(cè)襯、自對準(zhǔn)、回刻等刻蝕深硅刻蝕深槽刻蝕機(jī)硅氮化鈦金屬硬掩膜刻蝕機(jī)金屬刻蝕機(jī)金屬鋁、硅、氧化物、鉬、氧化銦錫硅TiN

HM刻蝕、高K值介質(zhì)刻蝕、W/Ti/Ta等金屬及其化合物刻蝕多晶硅刻蝕、介質(zhì)刻蝕、Al/Mo/ITO等金屬刻蝕多晶硅柵極刻蝕、淺槽隔離刻蝕、側(cè)墻刻蝕淺溝槽隔離刻蝕、柵極刻蝕、側(cè)墻刻蝕、雙重圖形曝光2.5D&3D

TSV刻蝕、深槽隔離/電容刻蝕、MEMS刻蝕掩膜刻蝕、Spacer、有機(jī)物刻蝕、大馬士革刻蝕等深槽刻蝕、深孔刻蝕、扇出型封裝硅載體刻蝕、露銅刻蝕等深硅刻蝕12吋硅刻蝕機(jī)12吋硅刻蝕機(jī)12吋硅深硅刻蝕機(jī)8/12吋兼容12吋硅、氧化硅、氮化硅氧化硅、氮化硅、PI等有機(jī)物、玻璃等硅、氧化硅、氮化硅硅、SOI、SOG封裝鈍化層刻蝕機(jī)深硅刻蝕機(jī)PSEV300DiHSE

P3008/12吋兼容8吋及以下深硅刻蝕機(jī)HSE

M200380mm

Frame等離子體切割刻蝕機(jī)HSE

D300硅深硅等離子切割及以下去膠機(jī)ACE

i3008/12吋兼容8/12吋兼容光刻膠干法去膠微波等離子體表面處理系統(tǒng)BMDP300PR,PI,PBO,

BCB等等離子體表面處理、殘渣去除、金屬離子去除氮化鎵、氧化硅/氧化鈦、砷化鎵、磷化鎵、高精度刻蝕機(jī)多功能刻蝕機(jī)高密度刻蝕機(jī)芯片刻蝕機(jī)GSE

V200GSEC200GDEC2008吋及以下8吋及以下8吋及以下多種材料刻蝕工藝多種材料刻蝕工藝鋁鎵銦磷、氮化硅、鎢化鈦等硅、氧化硅、氮化硅、氮化鎵、砷化鎵、磷化銦、鈮酸鋰、金屬、有機(jī)物等碳化硅、氮化硅、鋁鈧氮、鉬、鋁氮、鋯鈦酸鉛、氧化鋁、氮化鎵等碳化硅通孔刻蝕、碳化硅柵槽刻蝕、鉬-鋁氮電極刻蝕等電極、深槽隔離、DBR、紅黃光、鈍化層、金屬阻擋層等刻蝕ELEDE?G380A/G380D2、4、6

吋及特殊尺寸氮化鎵、氧化硅/氧化鈦、砷化鎵、磷化鎵、鋁鎵銦磷、氮化硅、鎢化鈦、有機(jī)物等2、4、6

吋及特殊尺寸PSS刻蝕機(jī)ELEDE?

380F藍(lán)寶石,氧化硅等納米級/圖形化PSS刻蝕、復(fù)合襯底刻蝕資料:公司官網(wǎng),中郵證券研究所14刻蝕設(shè)備:新工藝不斷突破?

刻蝕裝備方面,面向12吋邏輯、存儲、功率、先進(jìn)封裝等客戶,公司已完成數(shù)百道工藝的量產(chǎn)驗證,ICP刻蝕產(chǎn)品出貨累計超過2000腔;采用高密度、低損傷設(shè)計的12吋等離子去膠機(jī)已在多家客戶完成工藝驗證并量產(chǎn);金屬刻蝕設(shè)備憑借穩(wěn)定的量產(chǎn)性能成為國內(nèi)主流客戶的優(yōu)選機(jī)臺;迭代升級的高深寬比TSV刻蝕設(shè)備通過客戶端工藝驗證,支撐Chiplet工藝應(yīng)用;應(yīng)用于提升芯片良率的12吋CCP晶邊刻蝕機(jī)已進(jìn)入多家生產(chǎn)線驗證;精準(zhǔn)針對客戶需求,發(fā)布了雙頻耦合CCP介質(zhì)刻蝕機(jī)。實現(xiàn)了在硅刻蝕、金屬刻蝕、介質(zhì)刻蝕工藝的全覆蓋,具有對硅、深硅、金屬、介質(zhì)、化合物半導(dǎo)體等多種材料的刻蝕能力。2023年6月30日:公司12吋深硅刻蝕機(jī)銷售突破百腔,助力CHIPLET

TSV工藝發(fā)展?

以TSV(Through

Silicon

Via,硅通孔)技術(shù)為代表的先進(jìn)封裝成為芯片集成的重要途徑。?

公司于2020年前瞻性推出的12吋先進(jìn)封裝領(lǐng)域PSE

V300,成為國內(nèi)TSV量產(chǎn)生產(chǎn)線主力機(jī)臺。?

經(jīng)過三年的迭代更新,PSEV300已從最初的2.5D/3D封裝領(lǐng)域,逐漸應(yīng)用至功率器件、圖像傳感器及微機(jī)電系統(tǒng)等眾多領(lǐng)域。2023年7月1日:公司發(fā)布國產(chǎn)12吋晶邊刻蝕機(jī),打造良率提升專業(yè)解決方案?

晶邊刻蝕機(jī)作為業(yè)界提升良率的有力保障,其重要性日益凸顯。近日,公司正式發(fā)布應(yīng)用于晶邊刻蝕(Bevel

Etch)工藝的12吋等離子體刻蝕機(jī)AccuraBE,實現(xiàn)國產(chǎn)晶邊干法刻蝕設(shè)備“零”的突破。?

AccuraBE剛發(fā)布上市,憑借優(yōu)秀的工藝均勻性等斬獲邏輯及存儲器領(lǐng)域頭部客戶多個訂單,通過工藝調(diào)試,進(jìn)入量產(chǎn)階段。2023年7月1日:北方華創(chuàng)發(fā)布12吋去膠機(jī),實現(xiàn)去膠工藝全覆蓋?

去膠工藝作為刻蝕工藝的一種,光刻膠在完成圖形復(fù)制和傳遞作用后能否去除干凈直接影響到后續(xù)工藝是否能夠進(jìn)行,甚至關(guān)系到器件的性能。2023年6月,北方華創(chuàng)正式發(fā)布12吋去膠機(jī)ACE

i300,開拓12吋刻蝕領(lǐng)域全新版圖。?

ACE

i300主要用于12吋存儲、邏輯、圖像傳感器等,實現(xiàn)刻蝕后去膠(post

etch

strip)、離子注入后去膠(post

implantstrip)、去殘膠(descum)、表面處理(surface

treatment)等去膠工藝全覆蓋。多項關(guān)鍵工藝指標(biāo)達(dá)到先進(jìn)水平。資料:公司官網(wǎng),公司公告,中郵證券研究所15刻蝕設(shè)備:應(yīng)用領(lǐng)域廣泛,性能優(yōu)異圖表8:公司等離子體刻蝕設(shè)備部分匯總(應(yīng)用領(lǐng)域與設(shè)備特點)刻蝕機(jī)類別型號應(yīng)用領(lǐng)域設(shè)備特點集成電路、化合物半導(dǎo)體、功率半導(dǎo)體、科研多晶硅刻蝕機(jī)NMC508C/G良好的形貌控制能力;多腔室集群設(shè)備,可全自動并行工藝,易維護(hù)高刻蝕速率、高刻蝕均勻性、低顆粒/缺陷;性能穩(wěn)定、產(chǎn)能高、客戶擁有成本低集成電路、化合物半導(dǎo)體、功率半導(dǎo)體、硅基微型顯示、科研良好的鋁線形貌控制能力;多腔室集群設(shè)備,含金屬腔和去膠腔組合,金屬刻蝕機(jī)介質(zhì)刻蝕機(jī)NMC508MNMC508RIE可全自動并行工藝,PM維護(hù)周期長集成電路、化合物半導(dǎo)體、功率半導(dǎo)體、科研高刻蝕速率和均勻性;易維護(hù)、性能穩(wěn)定、產(chǎn)能高、客戶擁有成本低;多腔室集群設(shè)備,全自動并行工藝多腔室集群設(shè)備,可全自動并行工藝深槽刻蝕機(jī)NMC508GtNMC612M集成電路、功率半導(dǎo)體集成電路溝槽頂部圓化能力,優(yōu)秀的形貌控制、低顆粒/缺陷氮化鈦金屬硬掩膜刻蝕機(jī)先進(jìn)的線寬、形貌、均勻性控制能力;高產(chǎn)能,工藝穩(wěn)定,低缺陷;減少等離子體誘導(dǎo)損傷集成電路、功率半導(dǎo)體、硅基微型顯示多腔室集群設(shè)備(Cluster

Tool)(全自動化的,能夠進(jìn)行串行或并行工藝處理);包含工藝模塊和傳輸模塊,可從12吋硅片傳輸盒(FOUP)中連續(xù)自動取片并在所指定的工藝腔室里完成所設(shè)定的工藝金屬刻蝕機(jī)NMC612G硅刻蝕機(jī)硅刻蝕機(jī)NMC612CNMC612D集成電路、功率半導(dǎo)體集成電路已在客戶端穩(wěn)定量產(chǎn)超過十年豐富的工藝調(diào)試手段、較高的刻蝕均勻性以及量產(chǎn)穩(wěn)定性量產(chǎn)穩(wěn)定性較高集成電路、先進(jìn)封裝、功率半導(dǎo)體、圖像傳感器、微機(jī)電系統(tǒng)兼具Bosch/Non-Bosch工藝,針對Bosch循環(huán)工藝方式采用專業(yè)先進(jìn)的快速響應(yīng)硬件配置及軟件流程控制,結(jié)合先進(jìn)的工藝技術(shù),可實現(xiàn)超高深寬比下良好的工藝性能,配置多腔平臺,滿足大產(chǎn)能量產(chǎn)使用需求深硅刻蝕機(jī)PSE

V300封裝鈍化層刻蝕機(jī)深硅刻蝕機(jī)PSE

V300DiHSE

P300HSE

M200HSE

D300ACEi300先進(jìn)封裝先進(jìn)封裝易維護(hù)、性能穩(wěn)定、客戶擁有成本低;高刻蝕速率、高刻蝕均一性能力,低顆粒;多腔室集群設(shè)備采用Cluster結(jié)構(gòu)布局,減小占地,提升產(chǎn)能;自動化地上下料及自動工藝深硅刻蝕機(jī)微機(jī)電系統(tǒng)、科研先進(jìn)封裝配置高密度雙立體等離子體源,低頻脈沖下電極系統(tǒng),可實現(xiàn)高速、高深寬比、高均勻性及極小側(cè)壁粗糙度易維護(hù)、性能穩(wěn)定、客戶擁有成本低;高刻蝕速率、高刻蝕均一性和角度控制能力、低顆??刂频刃阅軆?yōu)勢高去膠速率、高均勻性、低等離子體損傷、低缺陷;多腔室集群設(shè)備等離子體切割刻蝕機(jī)去膠機(jī)集成電路、功率半導(dǎo)體易維護(hù)、性能穩(wěn)定、客戶擁有成本低微波等離子體表面處理系統(tǒng)低損傷、高刻蝕速率、高刻蝕均勻性、高親水性、兼容大翹曲及可刻蝕不同材質(zhì)晶圓等性能優(yōu)勢;模塊化設(shè)計,可全自動并行作業(yè)BMD

P300先進(jìn)封裝高精度刻蝕機(jī)多功能刻蝕機(jī)GSE

V200GSE

C200GDE

C200化合物半導(dǎo)體、Micro

LED、科研化合物半導(dǎo)體、硅基微型顯示、科研化合物半導(dǎo)體、科研優(yōu)異的刻蝕均勻性,良好的顆??刂颇芰Γ瑢掗煹墓に嚧翱诩熬_的形貌控制能力;多腔室集群設(shè)備等離子體刻蝕機(jī),刻蝕速率高、均勻性好、顆??刂颇芰?qiáng)、易維護(hù)、性能穩(wěn)定、具有快速導(dǎo)產(chǎn)能力多腔室集群設(shè)備,全自動并行工藝處理;適用于強(qiáng)鍵合材料刻蝕高密度等離子體刻蝕機(jī)ELEDE?芯片刻蝕機(jī)半導(dǎo)體照明、Mini

LED、Micro

LED半導(dǎo)體照明、Mini

LED、Micro

LED等離子體刻蝕機(jī);配置單/雙反應(yīng)腔、托盤式、多片Tray

inTrayout全自動作業(yè)覆蓋藍(lán)綠、紅黃芯片刻蝕全流程G380A/G380DPSS刻蝕機(jī)ELEDE?

380F覆蓋PSS、NPSS、CPSS刻蝕工藝資料:公司官網(wǎng),中郵證券研究所16薄膜設(shè)備技術(shù)概覽圖表9:薄膜沉積設(shè)備技術(shù)分類與對比低壓型LPCVD常壓型熱蒸發(fā)沉積APCVD化學(xué)氣相沉積先進(jìn)薄膜沉積設(shè)備物理氣相沉積金屬有機(jī)化合物型CVDPVD等離子體濺射沉積MOCVD等離子體增強(qiáng)型原子層沉積PECVDALD熱原子層沉積TALD等離子體增強(qiáng)原子層沉積

PEALD空間原子層沉積SALD電化學(xué)原子層沉積ECALD大氣壓原子層沉積AP-ALD流床式原子層沉積PVD技術(shù)CVD技術(shù)ALD技術(shù)?

沉積速率較快;?

沉積速率較慢(納米/

分鐘);?

原子層級的薄膜厚度;?

薄膜厚度較厚,對于納米級的膜厚精度控制差;?

鍍膜具有單一方向性;?

厚度均勻性差;?

沉積速率一般(微米

/分鐘);優(yōu)勢與劣勢?

中等的薄膜厚度(依賴于反應(yīng)循環(huán)次數(shù));?

鍍膜具有單一方向性;?大面積薄膜厚度均勻性好;?

階梯覆蓋率最好;?

薄膜致密無針孔?

階梯覆蓋率一般?

階梯覆蓋率差?

PERC

電池背面鈍化層;?

PERC

電池背面鈍化層、PERC

電池減反層;?

TOPCon

電池接觸鈍化層、減反層;?

TOPCon

電池隧穿層、

接觸鈍化層、減反層;?

柔性電子介質(zhì)層、柔性電子封裝層;?

半導(dǎo)體高

k

介質(zhì)層、金屬柵極、金屬互聯(lián)阻擋層、多重曝光技術(shù),在半導(dǎo)體領(lǐng)域

28nm

及以下先進(jìn)制程、存儲器件中的3D

NAND典型應(yīng)用中舉足輕重?

HJT光伏電池透明電極;主要應(yīng)用柔性電子金屬化、觸碰面板透明電極;HJT電池接觸鈍化層;???柔性電子介質(zhì)層、柔性電子封裝層;?

半導(dǎo)體金屬化?

半導(dǎo)體介質(zhì)層(低介電常數(shù))、半導(dǎo)體封裝層資料:微導(dǎo)納米招股說明書,中郵證券研究所17薄膜設(shè)備:PVD、CVD、ALD全覆蓋?

薄膜裝備方面,公司突破了物理氣相沉積、化學(xué)氣相沉積和原子層沉積等多項核心關(guān)鍵技術(shù),銅互聯(lián)薄膜沉積、鋁薄膜沉積、鎢薄膜沉積、硬掩膜沉積、介質(zhì)膜沉積、TSV

薄膜沉積、背面金屬沉積等二十余款產(chǎn)品成為國內(nèi)主流芯片廠的優(yōu)選機(jī)臺,廣泛應(yīng)用于集成電路、功率器件、先進(jìn)封裝等領(lǐng)域,累計出貨超3000腔,支撐了國內(nèi)主流客戶的量產(chǎn)應(yīng)用。圖表10:公司物理氣相沉積設(shè)備部分匯總型號晶圓尺寸適用材料適用工藝應(yīng)用領(lǐng)域eVictorPVDAl集成電路、功率半導(dǎo)體、硅基微型顯示金屬鋁薄膜PVD8吋PVD12吋鋁、氮化鉭、氮化鈦、鈦Al

Pad、鋁線、熱鋁eVictorSeries鈦、氮化鈦、高溫鋁、鎳、鎳釩、銀正面電極工藝、背面金

集成電路、功率半導(dǎo)體、科8吋8/12吋12吋屬化工藝研領(lǐng)域、化合物半導(dǎo)體PolarisSeries銅、鈦、氮化鈦、鉭、氮化鉭硅通孔PVD2.5D/3D

TSV沉積先進(jìn)封裝PolarisSeries12吋背面金屬PVD8/12吋通用PVD8吋PVD鋁、鎳釩、銀、鈦背面金屬化工藝功率半導(dǎo)體、先進(jìn)封裝先進(jìn)封裝、硅基微型顯示PolarisSeries銅、鈦、氮化鈦、鉭、氮化鉭、鎢化鈦、金、鋁等

UBM金屬濺射、重布線晶圓級先進(jìn)封裝中的8/12吋兼容6/8

吋PolarisSeries銀、鎢化鈦、金、鉑、鈦、化合物半導(dǎo)體、半導(dǎo)體照明、硅基微型顯示、科研領(lǐng)域倒裝工藝、金屬電極等ITO電流擴(kuò)展層氮化鈦、鋁等iTopsSeriesPVDITO

濺射系統(tǒng)PVDAlN

濺射系統(tǒng)2/4/6吋2/4/6吋銦錫氧化物半導(dǎo)體照明iTopsSeries氮化鋁氮化鋁緩沖層濺射半導(dǎo)體照明18資料:公司官網(wǎng),公司公告,中郵證券研究所薄膜設(shè)備:PVD、CVD、ALD全覆蓋?

公司自主開發(fā)的臥式PECVD已成功進(jìn)入海外市場,為多家國際先進(jìn)光伏制造廠提供解決方案。硅外延設(shè)備在感應(yīng)加熱高溫控制技術(shù)、氣流場、溫度場模擬仿真技術(shù)等方面取得了重大的突破,達(dá)成了優(yōu)秀的外延工藝結(jié)果,獲得多家國內(nèi)主流生產(chǎn)線批量采購。面向LED領(lǐng)域介質(zhì)膜沉積的PECVD設(shè)備,憑借優(yōu)秀的工藝性能和產(chǎn)能優(yōu)勢,已成為LED客戶擴(kuò)產(chǎn)優(yōu)選設(shè)備。面向化合物半導(dǎo)體領(lǐng)域,碳化硅外延設(shè)備的各項技術(shù)指標(biāo)也均已達(dá)到行業(yè)先進(jìn)水平,批量機(jī)臺已在各大主流廠商實現(xiàn)穩(wěn)定量產(chǎn)。圖表11:公司化學(xué)氣相沉積設(shè)備部分匯總型號晶圓尺寸適用材料適用工藝應(yīng)用領(lǐng)域氧化硅、氮化硅、氮氧化硅、非晶硅、非掩膜層沉積、鈍化層沉積、絕緣層沉積集成電路、化合物半導(dǎo)體、功率半導(dǎo)體、EPEE

i2006/8吋兼容晶碳、PSG、BPSGLED、硅基微顯、科研PECVD氧化硅圖形化襯底層、鈍化保護(hù)層、絕緣層、掩摸層沉積EPEE

i8008吋及以下氧化硅、氮化硅、氮氧化硅化合物半導(dǎo)體、半導(dǎo)體照明、科研EPEE

550Esther

E320REsther

E320AEris

E120R8吋及以下6/8吋兼容5/6/8吋6/8吋氧化硅、氮化硅、氮氧化硅保護(hù)層、掩膜層、電流阻擋層體硅外延、埋層外延、選擇性外延N&P常壓硅外延半導(dǎo)體顯示及照明、科研領(lǐng)域集成電路、功率半導(dǎo)體、襯底材料、科研功率半導(dǎo)體、襯底材料、科研硅單片LPCVD硅單片LPCVD單片APCVD單片APCVD多片CVD硅埋層外延、選擇性外延

、多晶外延N&P常壓硅外延集成電路、功率半導(dǎo)體、襯底材料、科研功率半導(dǎo)體、襯底材料、科研Eris

E120A5/6/8吋12吋硅Hesper

E230ASES

Series硅N&P常壓硅外延功率半導(dǎo)體、襯底材料、科研4/5/6/8吋4/6吋硅N&P硅外延功率半導(dǎo)體、襯底材料、科研CVDMARSiCE115HORICL200碳化硅N&P碳化硅外延化合物半導(dǎo)體、襯底材料、科研化合物半導(dǎo)體、襯底材料、科研臥式LPCVD4/6/8吋硅、碳化硅、硅基氮化鎵硅、碳化硅、硅基氮化鎵裝片量:1300~2860(單槽雙插)片/管;單機(jī)臺管數(shù):5、6、10、12

管/系統(tǒng);溫度范圍:600~1000℃156mm~230mm氧化硅、多晶硅、摻磷多晶硅、摻硼多晶硅管式LPCVD管式PECVDHORISL12HORISP12新能源光伏裝片量:432~780

片/舟;單機(jī)臺管數(shù):5、6、10、12

管/系統(tǒng);溫度范圍:150~650℃156mm~230mm氮化硅、氧化硅、氧化鋁、Poly、新能源光伏摻雜、退火等19資料:公司官網(wǎng),公司公告,中郵證券研究所熱處理設(shè)備概覽圖表12:熱處理設(shè)備分類氧化:一種添加工藝,是將硅片放入高溫爐中,加入氧氣與之反應(yīng),在晶圓表立式爐臥式爐氧化爐擴(kuò)散爐面形成二氧化硅。按設(shè)擴(kuò)散:通過分子熱運動使物質(zhì)由高濃度區(qū)移向低濃度區(qū),利用擴(kuò)散工藝可以在熱處理設(shè)備按備工

硅襯底中摻雜特定的摻雜物,從而改變半導(dǎo)體的導(dǎo)電率,但與離子注入相比擴(kuò)形藝

散摻雜不能獨立控制摻雜物濃度和結(jié)深,因此現(xiàn)在應(yīng)用越來越少。態(tài)快速退火RTP退火:一種加熱過程,通過加熱使晶圓產(chǎn)生特定的物理和化學(xué)變化,并在晶圓表面增加或移除少量物質(zhì)。2021年全球熱處理競爭格局柵極堆疊設(shè)備設(shè)備市場規(guī)模占比應(yīng)用材料Kokusai東京電子屹唐股份維易科44%19%19%5%圖表半導(dǎo)體熱工藝未來的發(fā)展方向:芯片性能對熱預(yù)算、溫度的敏感度要求越來越高1)芯片尺寸縮小要求更低的工藝溫度及更快的升降溫速率的立式爐設(shè)備;2)先進(jìn)的工藝需求更先進(jìn)的溫度場控制技術(shù);3)在更高溫下,對零部件選材及金屬離子污染控制提出更高要求;4)爐管式化學(xué)氣相沉積薄膜工藝向原子層沉積薄膜工藝發(fā)展。4%ASM3%25SCREEN北方華創(chuàng)其他2%20151051%2%2.475.156.838.876.918.896.688.82柵極堆疊設(shè)備氧化/擴(kuò)散爐快速熱處理5.527.199.489.376.410201920202021E2022E2023E2024E2025E資料:華經(jīng)產(chǎn)業(yè)研究院,知乎,中郵證券研究所20立式爐設(shè)備:累計出貨超過500臺?

立式爐裝備方面,公司的中溫氧化/退火爐、高溫氧化/退火爐、低溫合金爐,低壓化學(xué)氣相沉積爐、批式原子層沉積爐均已成為國內(nèi)主流客戶的量產(chǎn)設(shè)備,并持續(xù)獲得重復(fù)訂單,累計出貨超過500臺,憑借優(yōu)異的量產(chǎn)穩(wěn)定性獲邏輯、存儲、功率、封裝、襯底材料等領(lǐng)域主流客戶的認(rèn)可。圖表14:公司氧化擴(kuò)散設(shè)備部分匯總型號晶圓尺寸適用材料適用工藝應(yīng)用領(lǐng)域單片減壓原位濕法氧化系統(tǒng)低溫退火爐Hesper

TO230R12吋二氧化硅濕法氧化、減壓Spike集成電路、功率半導(dǎo)體、襯底材料、科研領(lǐng)域低溫常/低壓工藝、合金、金屬/非金屬退火、THEORIS

A30212吋硅集成電路、先進(jìn)封裝、功率半導(dǎo)體、硅基微型顯示薄片退火PI固化爐LPCVD高溫氧化硅爐LPCVD非摻雜多晶硅爐LPCVD氮化硅爐高溫氧化爐THEORIS

A302CTHEORIS

HO302DTHEORIS

PY302UTHEORIS

SN302DTHEORIS

X302HTHEORIS

X302PTENESIS

X308P12吋12吋12吋12吋12吋12吋12吋硅硅硅硅硅硅硅PI膠固化集成電路、

功率半導(dǎo)體集成電路、功率半導(dǎo)體、

硅基微型顯示集成電路、功率半導(dǎo)體、襯底材料集成電路、功率半導(dǎo)體二氧化硅低壓化學(xué)氣相淀積多晶硅薄膜低壓化學(xué)氣相沉積氮化硅低壓化學(xué)氣相沉積高溫干/濕氧氧化、DCE/摻氮氧化、高溫退火干氧/濕氧氧化、DCE/摻氮氧化、退火干氧/濕氧氧化、DCE氧化先進(jìn)集成電路、功率半導(dǎo)體、襯底材料集成電路、功率半導(dǎo)體、襯底材料集成電路中溫氧化爐大產(chǎn)能中溫氧化爐集成電路、功率半導(dǎo)體、化合物半導(dǎo)體、硅基微型顯示、科研低溫退火爐FLOURIS

A2018吋硅、碳化硅氮氣退火、合金中溫氧化爐高溫氧化爐FLOURIS

X201PFLOURIS

X201HBooster

SWA8吋8吋硅、碳化硅硅、碳化硅-干氧/濕氧氧化、DCE氧化、退火高/中溫氧化、退火集成電路、功率半導(dǎo)體、化合物半導(dǎo)體、科研領(lǐng)域集成電路、功率半導(dǎo)體、

化合物半導(dǎo)體、襯底材料、科研集成電路單片退火系統(tǒng)12吋Sub40nmBEOL

單片退火先進(jìn)封裝立式PI固化爐SiC高溫退火爐SUMERIS

AP302CVERIC

A6151A8/12吋兼容4/6吋兼容硅、玻璃PI固化、烘烤去濕等先進(jìn)封裝碳化硅、氮化鋁注入后激活、Ar退火、Ar/H?退火、溝槽平滑化合物半導(dǎo)體,襯底材料,科研干氧氧化

、濕氧、Ar/N?

退火、NO/N?O

退火、H?

退火、DCE清洗SiC高溫氧化爐擴(kuò)散/氧化系統(tǒng)擴(kuò)散系統(tǒng)VERIC

O6151AHORIC

D200HORIS

D124/6吋兼容4/6/8吋碳化硅化合物半導(dǎo)體、襯底材料、科研化合物半導(dǎo)體、襯底材料、科研硅、碳化硅、硅基氮化鎵磷擴(kuò)散、硼擴(kuò)散、氧化、退火、合金晶圓尺寸:156mm~230mm工藝種類:低壓磷/硼擴(kuò)散、常/低壓退火/氧化、常壓退火/氧化、H?退火新能源光伏21資料:公司官網(wǎng),公司公告,中郵證券研究所臥式爐管設(shè)備:主要用于光伏領(lǐng)域,主流客戶全覆蓋圖表15:公司新能源光伏應(yīng)用領(lǐng)域裝備匯總化學(xué)氣相沉積設(shè)備氧化擴(kuò)散設(shè)備長晶爐設(shè)備半導(dǎo)體裝備HORIS

L12管式LPCVDHORIS

P12管式PECVDNVT-HG單晶生長爐HORIS

D12擴(kuò)散系統(tǒng)氣體測量控制CS230CS200CS100數(shù)字式質(zhì)量流量計/質(zhì)量流量控制器QL氣路系統(tǒng)PC100壓力控制器數(shù)字式質(zhì)量流量計/數(shù)字式質(zhì)量流量計/質(zhì)量流量控制器質(zhì)量流量控制器?

臥式爐管設(shè)備方面,公司主要為光伏客戶提供氧化擴(kuò)散、等離子體化學(xué)氣相沉積、低壓化學(xué)氣相沉積三大技術(shù)平臺基礎(chǔ)上的硼擴(kuò)、磷擴(kuò)、氧化退火、正/背膜氮化硅沉積、多晶硅沉積、多晶硅摻雜、隧穿氧化層沉積、異質(zhì)結(jié)非晶硅薄膜沉積等20余款工藝設(shè)備,適用于PERC、TOPCon、HJT等多種技術(shù)路線工藝應(yīng)用,實現(xiàn)主流客戶全覆蓋。真空裝備單晶爐NVT-HG2200-V1單晶爐22資料:公司官網(wǎng),公司公告,中郵證券研究所外延設(shè)備概覽?

外延設(shè)備在超越摩爾定律(技術(shù)節(jié)點涵蓋180nm到7nm)時代有著巨大的市場機(jī)會,隨著應(yīng)用范圍的擴(kuò)大,硅市場以及包括砷化鎵(GaAs)、氮化鎵(GaN)、碳化硅(SiC)和磷化銦(InP)等襯底在內(nèi)的其他市場正在以顯著的復(fù)合年增長率增長,由于這些材料的選擇具有嚴(yán)格的質(zhì)量要求,需使用MOCVD、MBE和HTCVD等外延設(shè)備生長超純薄膜和納米結(jié)構(gòu)。CVD8%5%3%圖表16:外延設(shè)備市場規(guī)模預(yù)測(單位:百萬美元)及驅(qū)動力2020年全球外延設(shè)備市場份額MOCVD金屬有機(jī)化學(xué)氣相沉積Ⅲ-Ⅴ化合物半導(dǎo)體MOCVD外延設(shè)備MBE分子束外延設(shè)備EPI39%SiHTCVDHTCVD高溫化學(xué)氣相沉積12%11%3%SiC

HTCVD碳化硅功率和高端射頻行業(yè)的CVD、MBE按外延材料分:3%GaN402;GaAs214SiC239;Si

154InP75市場規(guī)模3%3%其他

7按設(shè)備分:MOCVD和HTCVD:650;MBE:42按外延材料分:GaN

259;GaAs157;SiC133;Si

94;InP

47資料:Yole,物聯(lián)網(wǎng)世界,中郵證券研究所23外延設(shè)備:外延工藝設(shè)備全覆蓋,累計出貨近1000腔?

制造先進(jìn)芯片需要近乎完美的晶體材料,外延設(shè)備是生長晶體材料的關(guān)鍵設(shè)備,廣泛應(yīng)用于集成電路、功率器件、硅材料、第三代半導(dǎo)體等領(lǐng)域。?

作為中國外延工藝裝備技術(shù)的開拓者,公司早在2010年就啟動外延裝備的研發(fā)工作,經(jīng)過十余年的技術(shù)沉淀與創(chuàng)新突破,產(chǎn)品主要有SiC外延爐、硅基GaN外延爐、6/8吋多片硅外延爐,8吋單片硅外延爐,12吋單片硅外延爐等20余款量產(chǎn)設(shè)備,已發(fā)布20余款量產(chǎn)型外延設(shè)備,累計出貨近1000腔。圖表18:4-12吋單片/多片外延設(shè)備圖示圖表17:公司外延工藝一覽表從2012年硅外延設(shè)備成功進(jìn)入客戶端至今,具備多種材料外延生長技術(shù)能力:包括單晶硅、多晶硅、碳化硅(SiC)、氮化鎵(GaN)、磷化銦(InP)等,覆蓋集成電路、功率器件、射頻、半導(dǎo)體照明等領(lǐng)域應(yīng)用需求。實現(xiàn)4吋到12吋全覆蓋:包括8吋及以下的單片及多片大產(chǎn)能硅外延設(shè)備、12吋硅外延設(shè)備、4/6/8吋碳化硅外延設(shè)備、8吋及以下單片及多片大產(chǎn)能硅基氮化鎵外延設(shè)備。資料:公司官網(wǎng),中郵證券研究所24外延設(shè)備:持續(xù)創(chuàng)新多區(qū)控溫技術(shù)等關(guān)鍵技術(shù)?

8吋硅外延設(shè)備:打破了行業(yè)的競爭格局,成為行業(yè)內(nèi)主流Baseline(基準(zhǔn))產(chǎn)品,問世至今幾乎斬獲國內(nèi)市場全部訂單。?

12吋常壓硅外延設(shè)備:突破了一系列關(guān)鍵技術(shù),獲得了市場的廣泛認(rèn)可:在功率器件領(lǐng)域,截至當(dāng)前已在客戶端連續(xù)量產(chǎn)超過20萬片;在硅材料領(lǐng)域,已在多家客戶端實現(xiàn)穩(wěn)定量產(chǎn),各項關(guān)鍵指標(biāo)達(dá)到國際主流水平。?

碳化硅外延產(chǎn)品:目前產(chǎn)品已實現(xiàn)近200臺的銷售,占據(jù)市場半壁江山。面向8吋碳化硅市場,公司前瞻性開發(fā)的6/8吋兼容多片碳化硅外延設(shè)備將投入市場,將大幅降低客戶運營成本。?

關(guān)鍵技術(shù):通過持續(xù)創(chuàng)新,突破并優(yōu)化了氣流場、加熱場、氣路系統(tǒng)、溫度控制、壓力控制、運動系統(tǒng)等一系列關(guān)鍵技術(shù),并可針對客戶需求進(jìn)行定制化研發(fā)。圖表19:公司外延設(shè)備關(guān)鍵技術(shù)關(guān)鍵技術(shù)作用多區(qū)控溫技術(shù)可提升外延層的結(jié)晶質(zhì)量,優(yōu)化外延層的摻雜分布,滿足多種不同的技術(shù)要求可降低晶體雜質(zhì)與缺陷,提升器件層的晶體質(zhì)量,提升器件性能高溫潔凈腔室技術(shù)實現(xiàn)單向?qū)恿?,無反流湍流,可拓寬外延工藝窗口,提高外延界面質(zhì)量,實現(xiàn)外延膜層的可控和器件的高性能多區(qū)水平氣流場設(shè)計常壓與減壓下的加熱場與氣流場的仿真技術(shù)提升了工藝腔室的設(shè)計效率,為解決具體問題提供了理論支持資料:公司官網(wǎng),中郵證券研究所25清洗設(shè)備概覽圖表20:清洗設(shè)備在半導(dǎo)體芯片制造流程的應(yīng)用及分類中國清洗設(shè)備企業(yè)相關(guān)技術(shù)芯片設(shè)計盛美上海電路設(shè)計CAD工藝設(shè)計光罩制作光罩多晶硅拉晶切割研磨拋光清洗?

14-130?

28-130?

28-130?

5/7材料清洗單晶硅片刻蝕氧化光刻單晶硅片制造北方華創(chuàng)晶圓制造過程中清洗包含:擴(kuò)散前清洗刻蝕后清洗離子注入后清洗去膠清洗成膜前/后清洗機(jī)械拋光后清洗等刻蝕?

14?

14濺射晶圓制造清洗離子注入光阻去除WAT測試晶圓點測至純科技保護(hù)層拋光后清洗芯源微封裝打磨切割封裝過程中清洗包含:TSV清洗?28-130?14芯片封裝?

已具備技術(shù)(nm)?

在研技術(shù)(nm)UBM/RDL清洗芯片測試IC測試

老化檢驗鍵合清洗等客戶干法清洗占總清洗步驟數(shù)量約10%單片清洗設(shè)備

74.57%槽式清洗設(shè)備

18.09%批發(fā)螺旋噴淋清洗設(shè)備0.43%洗刷器

6.82%?

單片清洗設(shè)備在40nm以下的制程中的應(yīng)用將更加廣泛,未來占比有望逐步上升。?

90nm/20nm的芯片清洗工藝約90道/215道。隨著芯片進(jìn)入16nm以及7nm以下,清洗工藝的道數(shù)將會加速增長。按清洗介質(zhì)清洗設(shè)備濕法清洗占總清洗步驟數(shù)量的90+%?

未來5年清洗設(shè)備有望率先實現(xiàn)全面國產(chǎn)化。資料:盛美上海招股說明書,研究院,半導(dǎo)體產(chǎn)業(yè)縱橫,華經(jīng)產(chǎn)業(yè)研究院,知乎,中郵證券研究所2019年全球半導(dǎo)體清洗設(shè)備細(xì)分市場占比26清洗設(shè)備:單片+槽式,主要用于12吋集成電路領(lǐng)域?

清洗裝備方面,公司擁有單片清洗、槽式清洗兩大技術(shù)平臺,主要應(yīng)用于12吋集成電路領(lǐng)域。單片清洗機(jī)覆蓋Al/Cu制程全部工藝,是國內(nèi)主流廠商后道制程的優(yōu)選機(jī)臺;槽式清洗機(jī)已覆蓋RCA、Gate、PR

strip、磷酸、Recycle等工藝制程,并在多家客戶端實現(xiàn)量產(chǎn),屢獲重復(fù)訂單。圖表21:公司清洗裝備部分匯總型號圖示晶圓尺寸適用材料適用工藝應(yīng)用領(lǐng)域后段

Cu/Al制程刻蝕后、Al

Pad、背面清洗、背面刻蝕,后段控?fù)跗厥諉尉Ч?、多晶硅、氧化硅、氮化硅、介質(zhì)膜、金屬膜集成電路、先進(jìn)封裝、功率半導(dǎo)體、硅基微顯示單片清洗設(shè)備槽式清洗設(shè)備槽式清洗設(shè)備SC308012吋光阻、單晶硅、多晶硅、爐前、刻蝕/拋光后清洗、光阻、Pinnacle300集成電路、功率半導(dǎo)體、襯底材料、硅基微顯示12吋8吋氧化硅、氮化硅、金屬膜、

金屬氧化物、氮化物去除、控?fù)踅饘傺趸锲厥展庾?、單晶硅、氧化硅、氮化硅、介質(zhì)膜、金屬膜、氮化鈦、硅化物Pinnacle200預(yù)清洗、去膠清洗、氮化硅、Co、

集成電路、功率半導(dǎo)體、襯Ti去除、Recycle清洗底材料、硅基微型顯示預(yù)清洗、去膠清洗、氮化硅去除、硅,碳化硅,硅基氮化鎵

金屬去除(Co,Ti)、Recycle清洗、拋光后清洗、Epi

前全自動槽式清洗機(jī)GAMASeries集成電路、襯底材料、化合物半導(dǎo)體、功率半導(dǎo)體6/8吋8/12吋立式/臥式管舟清洗機(jī)BpureSeries石英管/舟、石英板、點火炮、基集成電路、功率半導(dǎo)體、化合物半導(dǎo)體、科研領(lǐng)域石英、碳化硅座等零部件浸泡式清洗EGCSeries面板尺寸:適應(yīng)

G4.5~

G10.5面板產(chǎn)線的Panel

規(guī)格邊緣光檢缺陷率:<0.01%TFT-LCD

生產(chǎn)過程中對磨邊后的液晶面板進(jìn)行清洗面板清洗機(jī)27資料:公司官網(wǎng),公司公告,中郵證券研究所半導(dǎo)體設(shè)備全部品類零部件整體市場情況占半導(dǎo)體設(shè)備市場比例分類國際主要企業(yè)國內(nèi)主要企業(yè)國產(chǎn)化率技術(shù)突破難度金屬類:京鼎精密、

金屬類:富創(chuàng)精密、靖江先鋒、作為應(yīng)用最廣,市場份額最大的零部件類別,具體品類繁多,主要產(chǎn)品技術(shù)已實現(xiàn)突破和,應(yīng)用于高制程設(shè)備的產(chǎn)品技術(shù)突破難度仍較高品類繁多,國內(nèi)已出現(xiàn)發(fā)行人等進(jìn)入國際半導(dǎo)體設(shè)備廠商的供應(yīng)商,整體國產(chǎn)化率相對較高,但高端產(chǎn)品國產(chǎn)化率較低Ferrortec等托倫斯、江豐電子(少量產(chǎn)品)等機(jī)械類12%6%非金屬類:Ferrotec、Hana、中國臺灣新鶴、美國杜邦等非金屬類:菲利華(石英零部件)、神工股份(硅部件)等對于核心模塊(射頻電源等),

國內(nèi)企業(yè)尚未進(jìn)入國際半導(dǎo)體設(shè)備廠商,少量應(yīng)用于國內(nèi)半導(dǎo)體設(shè)備廠商,主要應(yīng)用于光伏、LED

等泛半導(dǎo)體設(shè)備,國產(chǎn)化率低,高端產(chǎn)品尚未國產(chǎn)化AdvancedEnergy、

英杰電氣、北方華創(chuàng)(旗下的設(shè)備中作為控制工藝制程的核心電氣類MKS等北廣科技)等部件,技術(shù)突破難度較高京鼎精密、BrooksAutomation、品類較為繁多,國內(nèi)已出現(xiàn)發(fā)行人等進(jìn)入國際半導(dǎo)體設(shè)備廠商的供應(yīng)商,大多富創(chuàng)精密、華卓精科(雙工機(jī)品類繁多,部分產(chǎn)品已實現(xiàn)技術(shù)突破,但產(chǎn)品穩(wěn)定性和一致性與國外有差距,技術(shù)難度適中機(jī)電一體類8%9%1%Rorze、

ASML(自

臺)、新松機(jī)器人(機(jī)械手)、

品類國內(nèi)廠

商主要供應(yīng)國內(nèi)半導(dǎo)體設(shè)備產(chǎn)雙工機(jī)臺和浸液系統(tǒng))等京儀自動化(溫控系統(tǒng))等廠商,整體國產(chǎn)化率不高,功能復(fù)雜的高端產(chǎn)品未國產(chǎn)化品類較為繁多,少數(shù)企業(yè)通過自研或收購部分產(chǎn)品已進(jìn)入國際半導(dǎo)體設(shè)備廠商,整體國產(chǎn)化率處于中等水平,大部分品類的高端產(chǎn)品未國產(chǎn)化氣體/液體/真空系統(tǒng)類富創(chuàng)精密、萬業(yè)企業(yè)(收購的Compart

System)、新萊應(yīng)材、沈陽科儀、北京中科儀等品類繁多,部分產(chǎn)品已實現(xiàn)技術(shù)突破,但產(chǎn)品穩(wěn)定性和一致性與國外有差距,技術(shù)難度適中超科林、Edwards、Ebara、MKS等國內(nèi)企業(yè)通過收購進(jìn)入國際半導(dǎo)體設(shè)備廠商,國內(nèi)企業(yè)自研產(chǎn)品僅少量用于國內(nèi)半導(dǎo)體設(shè)備廠商,由于產(chǎn)品成本占比較低,國內(nèi)企業(yè)主要以采購進(jìn)口產(chǎn)品為主,國產(chǎn)化率低,高端產(chǎn)品尚未國產(chǎn)化北方華創(chuàng)(旗下的七星流量計)、萬業(yè)企業(yè)(收購的Compart

System)等儀器儀表類對測量的精準(zhǔn)度要求極高,國產(chǎn)化率低,技術(shù)突破難度較高M(jìn)KS、Horiba等對光學(xué)性能要求極高,鑒于光刻北京國望光學(xué)科技有限公

司、

國內(nèi)企業(yè)尚未進(jìn)入國際半導(dǎo)體設(shè)備廠商,

設(shè)備國際市場高度壟斷,高端產(chǎn)Zeiss、Cymer、光學(xué)類8%長春國科精密光學(xué)技術(shù)有限公司等已少量應(yīng)用于國內(nèi)光刻設(shè)備,國產(chǎn)化率品一家獨大,國內(nèi)光刻設(shè)備尚在發(fā)展,相應(yīng)配套光學(xué)零部件國產(chǎn)化率低,技術(shù)突破難度較高ASML較低,高端產(chǎn)品尚未國產(chǎn)化資料:富創(chuàng)精密招股說明書,芯謀研究,中郵證券研究所28公司電子元器件:射頻+流量計兩大類布局圖表22:公司旗下北廣科技與七星流量計的產(chǎn)品布局電視發(fā)射設(shè)備流量控制電視發(fā)射設(shè)備主要包括模擬電視發(fā)射機(jī)、數(shù)字電視發(fā)射機(jī)和轉(zhuǎn)發(fā)器三種,產(chǎn)品系列化、模塊化設(shè)計,涵蓋10W-10kW大中小各個功率等級CS系列質(zhì)量流量控制器&流量計:CS系列產(chǎn)品采用了國際領(lǐng)先的技術(shù),申報并獲得66項國家專利,其中PCT2項,發(fā)明專利24項。D07系列質(zhì)量流量控制器&流量計:質(zhì)量流量控制器(MFC)質(zhì)量流量計(MFM)屬于一種工業(yè)自動化儀表,

D07系列MFC/MFM為模擬量程,具有不因溫度和壓力的波動而失準(zhǔn)、重復(fù)性好、自動測量控制、工作壓力范圍寬等特點。中短波廣播發(fā)射設(shè)備DS系列質(zhì)量流量控制器:DS系列MFC是壓力式數(shù)字型產(chǎn)品,為氣體質(zhì)量流量的控制、測量提供了高準(zhǔn)確度及高可靠性。在SW發(fā)射機(jī)產(chǎn)品領(lǐng)域,北廣科技擁有30/50/100/150/300/500kw系列發(fā)射機(jī),可工作頻率范圍:3.2MHz~22MHz(3.9MHz~26.1MHz可選)壓力控制PC系列壓力控制器產(chǎn)品是基于CS系列產(chǎn)品先進(jìn)技術(shù)研發(fā)的,其各項技術(shù)指標(biāo)均嚴(yán)格按照SEMI標(biāo)準(zhǔn),具有精度高,響應(yīng)快,重復(fù)性好,使用便捷,易于通訊,擴(kuò)展性和可兼容性強(qiáng)等優(yōu)點。調(diào)頻廣播發(fā)射設(shè)備主要包括調(diào)頻立體聲發(fā)射機(jī)和CDR數(shù)字音頻廣播發(fā)射機(jī),產(chǎn)品系列化、模塊化設(shè)計,涵蓋10W-20kW大中小各個功率等級,冷卻方式包括風(fēng)冷和液冷顯示儀&積算儀流量顯示儀和積算儀用于為氣體質(zhì)量流量控制器

(MFC)和質(zhì)量流量計(MFM)

提供工作電源、操作控制、流量設(shè)定和流量數(shù)字顯示和累計流量等,分為單通道及多通道,具有多個通道的流量顯示儀可以配多個MFC/MFM,它們可以相互獨立地工作。功率源產(chǎn)品近幾年為國內(nèi)外科研機(jī)構(gòu)提供了大量的固態(tài)功率源產(chǎn)品,包括整機(jī)、功率放大器、等,已經(jīng)應(yīng)用于全球多個國家和地區(qū)的粒閥門管件磁流體密封傳動裝置氣路系統(tǒng)子、同步輻射光源等大型試驗設(shè)備資料:北廣科技官網(wǎng),七星流量計官網(wǎng),中郵證券研究所29多次股權(quán)激勵:長效激勵構(gòu)筑堅實人才基礎(chǔ)?

通過多期股權(quán)激勵計劃的實施,有效增強(qiáng)了核心管理團(tuán)隊和技術(shù)團(tuán)隊的責(zé)任感和獲得感,激發(fā)了積極性和創(chuàng)造性,相較前兩次股權(quán)激勵,2022年股權(quán)激勵的規(guī)模更大,人員覆蓋范圍更廣。2023年上半年公司完成了2022年股票期權(quán)激勵計劃預(yù)留部分的授予。圖表23:公司歷次股權(quán)激勵計劃2018年股權(quán)激勵2019年股權(quán)激勵2022年股權(quán)激勵450萬份股票期權(quán),行權(quán)價格69.2元/股;

1310萬份股票期權(quán),450萬份股票期權(quán),行權(quán)價格35.36元/股授予價格450萬份第一類限制性股票,授予價格34.6

首次1050萬份,預(yù)留260萬份,元/股授予價格160.22元/股限制性股票:公司董事及高管9人(71萬份)子公司高管及業(yè)務(wù)負(fù)責(zé)人79人(379萬份)股票期權(quán):股票期權(quán):核心技術(shù)人員777人(960.3萬份)管理骨干63人(89.7萬份)預(yù)留部分(260萬份)授予對象

核心技術(shù)人員275人(360.25萬份)管理骨干66人(89.75萬份)股票期權(quán):核心技術(shù)人員284人(358.45萬份)管理骨干72人(91.55萬份)當(dāng)年營收增長率不低于可比公司(全球半導(dǎo)體設(shè)備廠商銷售額TOP5)算術(shù)平均增長率;當(dāng)年研發(fā)投入營收占比不低于可比公司算術(shù)平均比例;以2017年為基數(shù):以2018年為基數(shù):當(dāng)年營收基于2017年年均復(fù)合增長率

當(dāng)年收入基于2018年年均復(fù)合增長率≥25%、EOE≥12%,研發(fā)支出占營收

≥25%、EOE≥12%,且上述指標(biāo)都≥對標(biāo)比例不低于8%,且上述指標(biāo)都≥對標(biāo)

企業(yè)75分位;行權(quán)條件預(yù)計攤銷當(dāng)年專利申請數(shù)量≥500件;企業(yè)75分位;當(dāng)年專利申請不低于200件。當(dāng)年研發(fā)支出占總營收比例不低于8%;當(dāng)年2020年專利申請不低于200件。三年內(nèi)EOE算術(shù)平均值不低于16%;三年內(nèi)利潤率算術(shù)平均值不低于8%。2018-2022:0.18/0.36/0.28/0.15/0.05億元2020-2024:3.0/3.5/1.9/0.8/0.1億元2022-2026:3.1/5.7/4.4/2.6/1.4億元資料:公司公告,中郵證券研究所30三國內(nèi)未來255.1萬片/月(折合12吋)待擴(kuò)產(chǎn),以及先進(jìn)封裝等驅(qū)動訂單增長31半導(dǎo)體設(shè)備市場規(guī)模:預(yù)計2030年1400億美元銷售額?

據(jù)WSTS統(tǒng)計,預(yù)計到2030年,全球半導(dǎo)體市場規(guī)模有望達(dá)到萬億美元。半導(dǎo)體設(shè)備的市場景氣度與半導(dǎo)體市場規(guī)模高度相關(guān)。根據(jù)SEMI統(tǒng)計,全球半導(dǎo)體設(shè)備銷售規(guī)模從2010年395億美元增長到2022年1074億美元,預(yù)計到2030年全球半導(dǎo)體設(shè)備銷售額將增長至1,400億美元。圖表24:全球半導(dǎo)體設(shè)備銷售額及增長率情況150050%40%30%20%10%0%1400中國大陸中國臺灣韓國5%6%13501200105090075060045030015002022年全球半導(dǎo)體設(shè)備銷售情況26%25%8%10%北美10741026日本20%歐洲870其他地區(qū)712645598566435412395369375

365316-10%-20%-30%2010

2011

2012

2013

2014

2015

2016

2017

2018

2019

2020

2021

2022

2023E2030E銷售額(億美元)增長率(%)資料:SEMI,中國國家發(fā)展和改革委員會,金融界,富創(chuàng)精密招股說明書,中郵證券研究所322023E全球晶圓廠設(shè)備支出840億美元,2024年復(fù)蘇?

美國加州時間2023年9月12日,SEMI在其最新的季度《世界晶圓廠預(yù)測報告》World

Fab

Forecast中宣布,源于芯片需求疲軟以及消費和移動設(shè)備庫存增加,預(yù)計2023年全球晶圓廠設(shè)備支出將同比下降15%,從2022年的995億美元的歷史新高降至840億美元,2024年將同比反彈15%至970億美元。?

從全球芯片投資Capex看亦如此,由于2021到2022年全球集成電路投資過熱和2023年全球經(jīng)濟(jì)不景氣,2023年全球芯片投資Capex同比2022年降低23%,預(yù)計2024年開始復(fù)蘇。?

2024年晶圓廠設(shè)備支出的復(fù)蘇將在一定程度上受到2023年半導(dǎo)體庫存調(diào)整結(jié)束以及高性能計算(HPC)和存儲器領(lǐng)域?qū)Π雽?dǎo)體需求增強(qiáng)的推動。圖表25:全球芯片生產(chǎn)總投資Capex及增速圖表26:全球晶圓廠設(shè)備支出及增長率情況1800165015001350120010509007506004503001,7601,682

20%

150050%1,6041,5241,51115%1,363135042%99540%30%20%10%0%1,38010%

120010509%6%9705%9138401%0%90075016%64315%9%-10%

600450-20%

300150-23%-10%-20%150-15%0-30%02021

2022

2023F

2024F

2025F

2026F

2027F2020

2021

2022

2023E

2024F全球晶圓廠設(shè)備支出(億美元)

增速(%)全球芯片生產(chǎn)總投資(億美元)增速(%)資料:SEMI

2Q23,中微公司,中郵證券研究所資料:SEMI

3Q23,中郵證券研究所33半導(dǎo)體設(shè)備擴(kuò)張:應(yīng)用與地區(qū)分析?

Foundry繼續(xù)引領(lǐng)半導(dǎo)體行業(yè)擴(kuò)張:?

SEMI預(yù)計Foundry將在2023年引領(lǐng)半導(dǎo)體擴(kuò)張,投資490億美元,增長1%。隨著對前沿和成熟工藝節(jié)點的投資持續(xù),2024年支出將增長5%,達(dá)到515億美元。?

預(yù)計2024年Memory支出將強(qiáng)勁回升,在2023年下降46%后,將增長65%,達(dá)到270億美元。?

預(yù)計2023年MPU投資保持平穩(wěn),2024年增長16%至90億美元。圖表27:按部分應(yīng)用拆分晶圓廠設(shè)備規(guī)模預(yù)測(億美元)(不完全統(tǒng)計)100080060040020009012178MPU60150110DRAMNAND515490Foundry/Logic2023F2024F資料:SEMI,中郵證券研究所圖表28:2024F全球各地區(qū)晶圓廠設(shè)?

中國臺灣地區(qū)繼續(xù)引領(lǐng)設(shè)備支出:SEMI預(yù)計2024年,中國臺灣將保持全球晶圓廠設(shè)備支出的全球領(lǐng)先地位,投資230億美元,同比增長4%。預(yù)計韓國將位居第二,2024年的投資估計為220億美元,比2023年增長41%,反映出存儲器領(lǐng)域的復(fù)蘇。備市場支出占比預(yù)期中國臺灣3%7%24%韓國8%?

由于出口預(yù)計將在尖端技術(shù)和外國投資方面的支出,中國大陸美洲2024F全球預(yù)計2024年,中國大陸將以200億美元的支出位居全球設(shè)備支出的第三位,比2023年的水平有所下降。預(yù)計中國大陸foundry和晶圓廠設(shè)備支出14%970億美元歐洲和中東地區(qū)

IDM將繼續(xù)投資于成熟工藝節(jié)點。23%?

預(yù)計2024年美洲/歐洲和中東地區(qū)/日本/東南亞晶圓廠投資額分別達(dá)140/80/70/30億美元。日本21%東南亞資料:SEMI,中郵證券研究所34全球晶圓廠設(shè)備分類占比:薄膜/刻蝕占比23%/22%圖表30:2022年全球薄膜設(shè)備分類市場規(guī)模(百萬美元)及占比(%)總薄膜設(shè)備市場規(guī)模:22,790百萬美元圖表29:2022年全球晶圓廠設(shè)備分類市場占比14%8%原子層沉積3,116爐管CVD3%3%1,9204%23%5%17%3%鍍銅

1,20532%等離子體CVD7,27722%物理濺射4,9508%外延1,8698%總市場規(guī)模:100,6

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論