觸發(fā)器與時序邏輯電路_第1頁
觸發(fā)器與時序邏輯電路_第2頁
觸發(fā)器與時序邏輯電路_第3頁
觸發(fā)器與時序邏輯電路_第4頁
觸發(fā)器與時序邏輯電路_第5頁
已閱讀5頁,還剩87頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

第8章觸發(fā)器與時序邏輯電路學(xué)習(xí)要點觸發(fā)器的工作原理及邏輯功能時序邏輯電路的分析方法存放器、計數(shù)器的工作原理及構(gòu)成555定時器的工作原理及其應(yīng)用第8章觸發(fā)器與時序邏輯電路8.1鎖存器和觸發(fā)器8.2時序電路的分析8.3時序電路的設(shè)計8.4常用時序邏輯部件8.5555定時器時序邏輯電路概述1、時序電路的特點時序邏輯電路任一時刻電路的輸出不僅與當(dāng)前的輸入有關(guān),而且與過去的輸入有關(guān)。說明時序邏輯電路由組合邏輯電路和觸發(fā)器〔或存貯元件〕構(gòu)成。2、時序電路邏輯功能的表示方法時序電路的邏輯功能可用邏輯表達(dá)式、狀態(tài)表、卡諾圖、狀態(tài)圖、時序圖和邏輯圖6種方式表示,這些表示方法在本質(zhì)上是相同的,可以互相轉(zhuǎn)換。邏輯表達(dá)式有:輸出方程狀態(tài)方程激勵方程3、時序電路的分類〔1〕根據(jù)時鐘分類同步時序電路中,各個觸發(fā)器的時鐘脈沖相同,即電路中有一個統(tǒng)一的時鐘脈沖,每來一個時鐘脈沖,電路的狀態(tài)只改變一次。異步時序電路中,各個觸發(fā)器的時鐘脈沖不同,即電路中沒有統(tǒng)一的時鐘脈沖來控制電路狀態(tài)的變化,電路狀態(tài)改變時,電路中要更新狀態(tài)的觸發(fā)器的翻轉(zhuǎn)有先有后,是異步進(jìn)行的?!?〕根據(jù)輸出分類米利型〔Mealy〕:時序電路的輸出不僅與現(xiàn)態(tài)有關(guān),而且還決定于電路當(dāng)前的輸入。穆爾型〔Moore〕:時序電路的其輸出僅決定于電路的現(xiàn)態(tài),與電路當(dāng)前的輸入無關(guān);或者根本就不存在獨立設(shè)置的輸出,而以電路的狀態(tài)直接作為輸出。8.1鎖存器和觸發(fā)器

——兩個根本性質(zhì):性質(zhì)一,具有兩個穩(wěn)定狀態(tài):“1〞態(tài)和“0〞。性質(zhì)二,在外部信號作用下,可以從一個穩(wěn)定狀態(tài)轉(zhuǎn)移到另一個穩(wěn)定狀態(tài)。由電平E控制的雙穩(wěn)態(tài)電路是鎖存器;由時鐘脈沖cp控制的雙穩(wěn)態(tài)電路是觸發(fā)器。時鐘脈沖cp是周期性方波。〔a〕〔b〕是鎖存器,〔c〕〔d〕是觸發(fā)器(a)高電平控制(b)低電平控制(c)上升沿觸發(fā)(d)下降沿觸發(fā)次態(tài)方程

(a)邏輯圖(b)符號(c)狀態(tài)表

鎖存器

1.RS鎖存器2.D鎖存器8.1.2觸發(fā)器電路組成和邏輯符號信號輸入端,低電平有效。信號輸出端,Q=0、Q=1的狀態(tài)稱0狀態(tài),Q=1、Q=0的狀態(tài)稱1狀態(tài),1、根本RS觸發(fā)器8.1.2.1RS觸發(fā)器工作原理10010

1001100101

0101010111101

1不變1000110

0不定?01010111不變功能表根本RS觸發(fā)器的特點〔1〕觸發(fā)器的次態(tài)不僅與輸入信號狀態(tài)有關(guān),而且與觸發(fā)器原來的狀態(tài)有關(guān)。〔2〕電路具有兩個穩(wěn)定狀態(tài),在無外來觸發(fā)信號作用時,電路將保持原狀態(tài)不變?!?〕在外加觸發(fā)信號有效時,電路可以觸發(fā)翻轉(zhuǎn),實現(xiàn)置0或置1。〔4〕在穩(wěn)定狀態(tài)下兩個輸出端的狀態(tài)和必須是互補關(guān)系,即有約束條件。C=0時,觸發(fā)器保持原來狀態(tài)不變。C=1時,工作情況與根本RS觸發(fā)器相同。2、同步RS觸發(fā)器功能表在數(shù)字電路中,凡根據(jù)輸入信號R、S情況的不同,具有置0、置1和保持功能的電路,都稱為RS觸發(fā)器。主要特點波形圖〔1〕時鐘電平控制。在CP=1期間接收輸入信號,CP=0時狀態(tài)保持不變,與根本RS觸發(fā)器相比,對觸發(fā)器狀態(tài)的轉(zhuǎn)變增加了時間控制。〔2〕R、S之間有約束。不能允許出現(xiàn)R和S同時為1的情況,否那么會使觸發(fā)器處于不確定的狀態(tài)。不變不變不變不定置1置0置13.主從RS觸發(fā)器cp從0→1變化,主觸發(fā)器接收輸入信號,從觸發(fā)器靜止不變;cp從1→0變化,主觸發(fā)器靜止不變,主觸發(fā)器輸出傳送到從觸發(fā)器。8.1.2.2D觸發(fā)器1、同步D觸發(fā)器C=0時觸發(fā)器狀態(tài)保持不變。C=1時,根據(jù)同步RS觸發(fā)器的邏輯功能可知,如果D=0,那么R=1,S=0,觸發(fā)器置0;如果D=1,那么R=0,S=1,觸發(fā)器置1。波形圖在數(shù)字電路中,凡在CP時鐘脈沖控制下,根據(jù)輸入信號D情況的不同,具有置0、置1功能的電路,都稱為D觸發(fā)器。CP=1期間有效2、維持阻塞D觸發(fā)器〔1〕D=0。當(dāng)C=0時,G3、G4和G6的輸出均為1,G5輸出為0,觸發(fā)器的狀態(tài)不變。當(dāng)C從0上跳為1,即C=1時,G3、G5和G6的輸出不變,G4輸出由1變?yōu)?,使觸發(fā)器置0?!?〕D=1。當(dāng)C=0時,G3和G4的輸出為1,G6的輸出為0,G5的輸出為1,觸發(fā)器的狀態(tài)不變。當(dāng)C=1時,G3的輸出由1變?yōu)?,使觸發(fā)器置1。維持阻塞D觸發(fā)器具有在時鐘脈沖上升沿觸發(fā)的持點,其邏輯功能為:輸出端Q的狀態(tài)隨著輸入端D的狀態(tài)而變化,但總比輸入端狀態(tài)的變化晚一步,即某個時鐘脈沖來到之后Q的狀態(tài)和該脈沖來到之前D的狀態(tài)一樣。即有:邏輯符號波形圖Qn+1=D

C上升沿時刻有效8.1.2.3主從JK觸發(fā)器工作原理01(1)接收輸入信號的過程。C=1時,主觸發(fā)器被打開,可以接收輸入信號J、K,其輸出狀態(tài)由輸入信號的狀態(tài)決定。但由于C=0,從觸發(fā)器被封鎖,無論主觸發(fā)器的輸出狀態(tài)如何變化,對從觸發(fā)器均無影響,即觸發(fā)器的輸出狀態(tài)保持不變。01(2)輸出信號過程當(dāng)C下降沿到來時,即C由1變?yōu)?時,主觸發(fā)器被封鎖,無論輸入信號如何變化,對主觸發(fā)器均無影響,即在C=1期間接收的內(nèi)容被存儲起來。同時,由于C由0變?yōu)?,從觸發(fā)器被打開,可以接收由主觸發(fā)器送來的信號,其輸出狀態(tài)由主觸發(fā)器的輸出狀態(tài)決定。在C=0期間,由于主觸發(fā)器保持狀態(tài)不變,因此受其控制的從觸發(fā)器的狀態(tài)也即Q、Q的值當(dāng)然不可能改變。邏輯功能分析〔1〕J=0、K=0。設(shè)觸發(fā)器的初始狀態(tài)為0,此時主觸發(fā)器的R1=0、S1=0,在C=1時主觸發(fā)器保持0狀態(tài)不變;當(dāng)C從1變0時,由于從觸發(fā)器的R2=1、S2=0,也保持為0狀態(tài)不變。如果觸發(fā)器的初始狀態(tài)為1,當(dāng)C從1變0時,觸發(fā)器那么保持1狀態(tài)不變??梢姴还苡|發(fā)器原來的狀態(tài)如何,當(dāng)J=K=0時,觸發(fā)器的狀態(tài)均保持不變。〔2〕J=0、K=1。設(shè)觸發(fā)器的初始狀態(tài)為0,此時主觸發(fā)器的R1=0、S1=0,在C=1時主觸發(fā)器保持0狀態(tài)不變;當(dāng)C從1變0時,由于從觸發(fā)器的R2=1、S2=0,也保持為0狀態(tài)不變。如果觸發(fā)器的初始狀態(tài)為1,那么由于R1=1、S1=0,在C=1時將主觸發(fā)器翻轉(zhuǎn)為0狀態(tài);當(dāng)C從1變0時,從觸發(fā)器狀態(tài)也翻轉(zhuǎn)為0狀態(tài)。可見不管觸發(fā)器原來的狀態(tài)如何,當(dāng)J=0、K=1時,輸入時鐘脈沖C后,觸發(fā)器的狀態(tài)均為0狀態(tài)?!?〕J=1、K=0。設(shè)觸發(fā)器的初始狀態(tài)為0,此時主觸發(fā)器的R1=0、S1=1,在C=1時主觸發(fā)器翻轉(zhuǎn)為1狀態(tài);當(dāng)C從1變0時,由于從觸發(fā)器的R2=0、S2=1,翻轉(zhuǎn)為1狀態(tài)。如果觸發(fā)器的初始狀態(tài)為1,那么由于R1=0、S1=0,在C=1時主觸發(fā)器狀態(tài)保持1狀態(tài)不變;當(dāng)C從1變0時,由于從觸發(fā)器的R2=0、S2=1,從觸發(fā)器狀態(tài)也狀態(tài)保持1狀態(tài)不變。可見不管觸發(fā)器原來的狀態(tài)如何,當(dāng)J=1、K=0時,輸入時鐘脈沖C后,觸發(fā)器的狀態(tài)均為1狀態(tài)?!?〕J=1、K=1。設(shè)觸發(fā)器的初始狀態(tài)為0,此時主觸發(fā)器的R1=0、S1=1,在C=1時主觸發(fā)器翻轉(zhuǎn)為1狀態(tài);當(dāng)C從1變0時,由于從觸發(fā)器的R2=0、S2=1,翻轉(zhuǎn)為1狀態(tài)。如果觸發(fā)器的初始狀態(tài)為1,那么由于R1=1、S1=0,在C=1時將主觸發(fā)器翻轉(zhuǎn)為0狀態(tài);當(dāng)C從1變0時,由于從觸發(fā)器的R2=1、S2=0,從觸發(fā)器狀態(tài)也翻轉(zhuǎn)為0狀態(tài)??梢姴还苡|發(fā)器原來的狀態(tài)如何,當(dāng)J=1、K=1時,輸入時鐘脈沖C后,觸發(fā)器的狀態(tài)必定與原來的狀態(tài)相反。由于每來一個時鐘脈沖C觸發(fā)器狀態(tài)翻轉(zhuǎn)一次,所以這種情況下的JK觸發(fā)器具有計數(shù)功能。功能表波形圖8.1.2.4.T觸發(fā)器

——由JK觸發(fā)器轉(zhuǎn)換得到J=K=T8.1.2.5觸發(fā)器邏輯功能的轉(zhuǎn)換在雙穩(wěn)態(tài)觸發(fā)器中,除了RS觸發(fā)器和JK觸發(fā)器外,根據(jù)電路結(jié)構(gòu)和工作原理的不同,還有眾多具有不同邏輯功能的觸發(fā)器。根據(jù)實際需要,可將某種邏輯功能的觸發(fā)器經(jīng)過改接或附加一些門電路后,轉(zhuǎn)換為另一種邏輯功能的觸發(fā)器。JK觸發(fā)器→D觸發(fā)器D→JK轉(zhuǎn)換JK觸發(fā)器→T觸發(fā)器JK觸發(fā)器→T'觸發(fā)器T'觸發(fā)器的邏輯功能:每來一個時鐘脈沖翻轉(zhuǎn)一次。D觸發(fā)器→T'觸發(fā)器8.2時序電路的分析步驟是:第一步根據(jù)給出的時序電路寫出有關(guān)方程a.寫出輸出方程判斷是米里電路還是摩爾電路b.寫出各觸發(fā)器的鼓勵方程c.鼓勵代入各自觸發(fā)器的次態(tài)方程,是異步時序電路要寫出脈沖方程第二步作狀態(tài)表、狀態(tài)圖以及波形圖第二步說明時序電路的功能以及改進(jìn)的方案例:分析下面電路,作狀態(tài)表、狀態(tài)圖。(a)邏輯圖(b)改進(jìn)后的電路解:第一步列方程這是同步米里時序電路輸出方程鼓勵方程,次態(tài)方程第二步作狀態(tài)表、狀態(tài)圖第三步電路功能是串行加法器,輸出Z實現(xiàn)求和運算、次態(tài)Qn+1代表進(jìn)位。例分析脈沖異步時序電路解:第一步列方程輸出方程:是摩爾電路鼓勵方程:J0=K0=1,J1=K1=1,J2=K2=1觸發(fā)器鼓勵不接任何信號相當(dāng)于接“1〞次態(tài)方程:下降沿觸發(fā)

·第二步作狀態(tài)表、狀態(tài)圖,作狀態(tài)表時先確

定此時的脈沖是否有效再決定次態(tài)

第三步電路功能是一個三位二進(jìn)制數(shù)減1計數(shù)器,輸出Z代表借位﹙時序圖略﹚。8.3時序電路的設(shè)計同步時序電路的設(shè)計——一般步驟:第一步根據(jù)題目要求建立原始狀態(tài)圖和狀態(tài)表。第二步狀態(tài)化簡與狀態(tài)編碼。第三步確定觸發(fā)器類型和個數(shù),列狀態(tài)方程、輸出方程以及鼓勵方程。第四步對無效狀態(tài)進(jìn)行檢驗其有無自起動能力,假設(shè)無自起動能力時需要改進(jìn)。第五步畫邏輯圖。如果設(shè)計的時序電路狀態(tài)數(shù)量和狀態(tài)編碼均已確定,可以從第一步直接跳到第三步。自啟動能力是指電路可以從無效狀態(tài)回到有效狀態(tài)的能力。例1建立原始狀態(tài)圖設(shè)計一個按自然態(tài)序變化的7進(jìn)制同步加法計數(shù)器,計數(shù)規(guī)那么為逢七進(jìn)益,產(chǎn)生一個進(jìn)位輸出。狀態(tài)化簡2狀態(tài)分配3已經(jīng)最簡。已是二進(jìn)制狀態(tài)。4選觸發(fā)器,求時鐘、輸出、狀態(tài)、驅(qū)動方程因需用3位二進(jìn)制代碼,選用3個CP下降沿觸發(fā)的JK觸發(fā)器,分別用FF0、FF1、FF2表示。由于要求采用同步方案,故時鐘方程為:輸出方程:狀態(tài)方程不化簡,以便使之與JK觸發(fā)器的特性方程的形式一致。比較,得驅(qū)動方程:電路圖5

例用JK觸發(fā)器設(shè)計一個同步四位二進(jìn)

制加1計數(shù)器。

解:第一步列狀態(tài)圖(略)和狀態(tài)表第二步寫出次態(tài)方程,確定鼓勵形式第三步畫邏輯圖,圖中虛線表示計數(shù)到7就清零實現(xiàn)模7計數(shù)器異步時序電路的設(shè)計一般步驟:第一步根據(jù)題目要求建立狀態(tài)圖第二步畫波形圖并確定時鐘脈沖方程、次態(tài)方程和鼓勵方程第三步畫邏輯圖例設(shè)計一個異步三位可逆計數(shù)器,當(dāng)控制端X=0時作加1計數(shù);當(dāng)X=1時作減1計數(shù),不考慮進(jìn)位或借位。解;第一步根據(jù)題目要求建立狀態(tài)圖第二步分別畫出加1和減1計數(shù)器的波形圖,確定脈沖方程、次態(tài)方程和鼓勵方程+1計數(shù)-1計數(shù):邏輯圖:8.4.1存放器在數(shù)字電路中,用來存放二進(jìn)制數(shù)據(jù)或代碼的電路稱為存放器。存放器是由具有存儲功能的觸發(fā)器組合起來構(gòu)成的。一個觸發(fā)器可以存儲1位二進(jìn)制代碼,存放n位二進(jìn)制代碼的存放器,需用n個觸發(fā)器來構(gòu)成。按照功能的不同,可將存放器分為數(shù)碼存放器和移位存放器兩大類。數(shù)碼存放器只能并行送入數(shù)據(jù),需要時也只能并行輸出。移位存放器中的數(shù)據(jù)可以在移位脈沖作用下依次逐位右移或左移,數(shù)據(jù)既可以并行輸入、并行輸出,也可以串行輸入、串行輸出,還可以并行輸入、串行輸出,串行輸入、并行輸出,十分靈活,用途也很廣。8.4常用時序邏輯部件(a)串行輸入串〔并〕行輸出的右移存放器(b)串行輸入串〔并〕行輸出的左移存放器數(shù)碼存放器無論存放器中原來的內(nèi)容是什么,只要送數(shù)控制時鐘脈沖CP上升沿到來,加在并行數(shù)據(jù)輸入端的數(shù)據(jù)D0~D3,就立即被送入進(jìn)存放器中,即有:8.4.1.2移位存放器1、4位右移移位存放器并行輸出在存數(shù)操作之前,先用RD〔負(fù)脈沖〕將各個觸發(fā)器清零。當(dāng)出現(xiàn)第1個移位脈沖時,待存數(shù)碼的最高位和4個觸發(fā)器的數(shù)碼同時右移1位,即待存數(shù)碼的最高位存入Q0,而存放器原來所存數(shù)碼的最高位從Q3輸出;出現(xiàn)第2個移位脈沖時,待存數(shù)碼的次高位和存放器中的4位數(shù)碼又同時右移1位。依此類推,在4個移位脈沖作用下,存放器中的4位數(shù)碼同時右移4次,待存的4位數(shù)碼便可存入存放器。2、4位左移移位存放器并行輸出例電路如下圖。設(shè)電路的初始狀態(tài)為Q0Q1Q2=001,試畫出前8個時鐘脈沖C作用期間Q0、Q1、Q2的波形。解根據(jù)電路的接法和右移移位存放器的邏輯功能,可列出圖示電路的邏輯狀態(tài)表。按照狀態(tài)表即可畫出Q0、Q1、Q2的波形。例電路如下圖。設(shè)電路的初始狀態(tài)為Q0Q1Q2=000,試畫出前8個時鐘脈沖C作用期間Q0、Q1、Q2的波形。電路的狀態(tài)表:電路的波形圖:8.4.2計數(shù)器能夠記憶輸入脈沖個數(shù)的電路稱為計數(shù)器。計數(shù)器二進(jìn)制計數(shù)器十進(jìn)制計數(shù)器N進(jìn)制計數(shù)器加法計數(shù)器同步計數(shù)器異步計數(shù)器減法計數(shù)器可逆計數(shù)器加法計數(shù)器減法計數(shù)器可逆計數(shù)器二進(jìn)制計數(shù)器十進(jìn)制計數(shù)器N進(jìn)制計數(shù)器······8.4.2.1二進(jìn)制計數(shù)器1、異步二進(jìn)制計數(shù)器3位異步二進(jìn)制加法計數(shù)器由于3個觸發(fā)器都接成了T'觸發(fā)器,所以最低位觸發(fā)器F0每來一個時鐘脈沖的下降沿〔即C由1變0〕時翻轉(zhuǎn)一次,而其他兩個觸發(fā)器都是在其相鄰低位觸發(fā)器的輸出端Q由1變0時翻轉(zhuǎn),即F1在Q0由1變0時翻轉(zhuǎn),F(xiàn)2在Q1由1變0時翻轉(zhuǎn)。波形圖F0每輸入一個時鐘脈沖翻轉(zhuǎn)一次。F1在Q0由1變0時翻轉(zhuǎn)。F2在Q1由1變0時翻轉(zhuǎn)。二分頻四分頻八分頻從狀態(tài)表或波形圖可以看出,從狀態(tài)000開始,每來一個計數(shù)脈沖,計數(shù)器中的數(shù)值便加1,輸入8個計數(shù)脈沖時,就計滿歸零,所以作為整體,該電路也可稱為八進(jìn)制計數(shù)器。由于這種結(jié)構(gòu)計數(shù)器的時鐘脈沖不是同時加到各觸發(fā)器的時鐘端,而只加至最低位觸發(fā)器,其他各位觸發(fā)器那么由相鄰低位觸發(fā)器的輸出Q來觸發(fā)翻轉(zhuǎn),即用低位輸出推動相鄰高位觸發(fā)器,3個觸發(fā)器的狀態(tài)只能依次翻轉(zhuǎn),并不同步,這種結(jié)構(gòu)特點的計數(shù)器稱為異步計數(shù)器。異步計數(shù)器結(jié)構(gòu)簡單,但計數(shù)速度較慢。狀態(tài)表用上升沿觸發(fā)的D觸發(fā)器構(gòu)成的4位異步二進(jìn)制加法計數(shù)器及其波形圖F0每輸入一個時鐘脈沖翻轉(zhuǎn)一次。F1在Q0由1變0時翻轉(zhuǎn),F(xiàn)2在Q1由1變0時翻轉(zhuǎn),F(xiàn)3在Q2由1變0時翻轉(zhuǎn)。3位異步二進(jìn)制減法計數(shù)器F0每輸入一個時鐘脈沖翻轉(zhuǎn)一次,F(xiàn)1在Q0由1變0時翻轉(zhuǎn),F(xiàn)2在Q1由1變0時翻轉(zhuǎn)。2、同步二進(jìn)制計數(shù)器3個JK觸發(fā)器都接成T觸發(fā)器F0每輸入一個時鐘脈沖翻轉(zhuǎn)一次F1在Q0=1時,在下一個C觸發(fā)沿到來時翻轉(zhuǎn)。F2在Q0=Q1=1時,在下一個C觸發(fā)沿到來時翻轉(zhuǎn)。8.4.2.2十進(jìn)制計數(shù)器選用4個C下降沿觸發(fā)的JK觸發(fā)器F0、F1、F2、F3。1、同步十進(jìn)制加法計數(shù)器F0:每來一個計數(shù)脈沖C翻轉(zhuǎn)一次,。F2:在Q0

和Q1都為1時,再來一個計數(shù)脈沖才翻轉(zhuǎn),。F3:在Q0、Q1和Q2都為1時,再來一個計數(shù)脈沖C才翻轉(zhuǎn),但在第10個脈沖到來時Q3應(yīng)由1變?yōu)?,F(xiàn)1:在Q0為1時,再來一個計數(shù)脈沖C才翻轉(zhuǎn),但在Q3為1時不得翻轉(zhuǎn),、。驅(qū)動方程:2、異步十進(jìn)制加法計數(shù)器8.3.3N進(jìn)制計數(shù)器1、由觸發(fā)器構(gòu)成N進(jìn)制計數(shù)器由觸發(fā)器組成的N進(jìn)制計數(shù)器的一般分析方法是:對于同步計數(shù)器,由于計數(shù)脈沖同時接到每個觸發(fā)器的時鐘輸入端,因而觸發(fā)器的狀態(tài)是否翻轉(zhuǎn)只需由其驅(qū)動方程判斷。而異步計數(shù)器中各觸發(fā)器的觸發(fā)脈沖不盡相同,所以觸發(fā)器的狀態(tài)是否翻轉(zhuǎn)除了考慮其驅(qū)動方程外,還必須考慮其時鐘輸入端的觸發(fā)脈沖是否出現(xiàn)。例:分析圖示計數(shù)器為幾進(jìn)制計數(shù)器。列狀態(tài)表的過程如下:首先假設(shè)計數(shù)器的初始狀態(tài),如000,并依此根據(jù)驅(qū)動方程確定J、K的值,然后根據(jù)J、K的值確定在計數(shù)脈沖C觸發(fā)下各觸發(fā)器的狀態(tài)。在第1個計數(shù)脈沖C觸發(fā)下各觸發(fā)器的狀態(tài)為001,按照上述步驟反復(fù)判斷,直到第5個計數(shù)脈沖C時,計數(shù)器的狀態(tài)又回到初始狀態(tài)000。即每來5個計數(shù)脈沖計數(shù)器狀態(tài)重復(fù)一次,所以該計數(shù)器為五進(jìn)制計數(shù)器。例:分析圖示計數(shù)器為幾進(jìn)制計數(shù)器。列異步計數(shù)器狀態(tài)表與同步計數(shù)器不同之處在于:決定觸發(fā)器的狀態(tài),除了要看其J、K的值,還要看其時鐘輸入端是否出現(xiàn)觸發(fā)脈沖下降沿。從狀態(tài)表可以看出該計數(shù)器也是五進(jìn)制計數(shù)器。2、由集成計數(shù)器構(gòu)成N進(jìn)制計數(shù)器4位集成同步二進(jìn)制加法計數(shù)器74LS161①CR=0時異步清零。②CR=1、LD=0時同步置數(shù)。③CR=LD=1且CPT=CPP=1時,按4位自然二進(jìn)制碼同步計數(shù)。④CR=LD=1且CPT·CPP=0時,計數(shù)器狀態(tài)保持不變。用集成計數(shù)器構(gòu)成N進(jìn)制計數(shù)器的方法:利用清零端或置數(shù)端,讓電路跳過某些狀態(tài)來獲得N進(jìn)制計數(shù)器。用74LS161構(gòu)成十二進(jìn)制計數(shù)器將狀態(tài)1100反響到清零端歸零將狀態(tài)1011反響到清零端歸零用異步歸零構(gòu)成十二進(jìn)制計數(shù)器,存在一個極短暫的過渡狀態(tài)1100。十二進(jìn)制計數(shù)器從狀態(tài)0000開始計數(shù),計到狀態(tài)1011時,再來一個計數(shù)脈沖C,電路應(yīng)該立即歸零。然而用異步歸零法所得到的十二進(jìn)制計數(shù)器,不是立即歸零,而是先轉(zhuǎn)換到狀態(tài)1100,借助1100的譯碼使電路歸零,隨后變?yōu)槌?/p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論