基于PLC的自動(dòng)售貨機(jī)系統(tǒng)_第1頁
基于PLC的自動(dòng)售貨機(jī)系統(tǒng)_第2頁
基于PLC的自動(dòng)售貨機(jī)系統(tǒng)_第3頁
基于PLC的自動(dòng)售貨機(jī)系統(tǒng)_第4頁
基于PLC的自動(dòng)售貨機(jī)系統(tǒng)_第5頁
已閱讀5頁,還剩31頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

基于PLC的自動(dòng)售貨機(jī)系統(tǒng)班級(jí)機(jī)電812專業(yè)機(jī)電一體化所在系機(jī)電工程系指導(dǎo)老師目錄1緒論 12自動(dòng)售貨機(jī)的相關(guān)說明 22.1自動(dòng)售貨機(jī)簡介 22.2自動(dòng)售貨機(jī)設(shè)計(jì)的主要內(nèi)容 32.3自動(dòng)售貨設(shè)計(jì)的基本思路 33PLC控制自動(dòng)售貨機(jī)的主要硬件及選型 43.1自動(dòng)售貨機(jī)的主要硬件系統(tǒng)組成 43.2PLC的選擇 43.2.1PLC的機(jī)型選擇 53.2.2PLC的容量選擇 53.2.3I/O模塊的選擇 53.3自動(dòng)售貨機(jī)PLC硬件控制電路設(shè)計(jì) 63.3.1自動(dòng)售貨機(jī)的工作流程 63.3.2自動(dòng)售貨機(jī)I/O點(diǎn)的分配 73.3.3自動(dòng)售貨機(jī)的I/O接口圖 83.4自動(dòng)售貨過程的控制子系統(tǒng)簡述 4自動(dòng)售貨機(jī)的PLC控制系統(tǒng)設(shè)計(jì) 104.1PLC應(yīng)用系統(tǒng)設(shè)計(jì)的內(nèi)容和步驟 104.1.1PLC控制系統(tǒng)設(shè)計(jì)的基本原則 104.1.2PLC控制系統(tǒng)設(shè)計(jì)的一般步驟 114.2PLC總體設(shè)計(jì)流程 124.3自動(dòng)售貨機(jī)的軟件設(shè)計(jì) 124.3.1定時(shí)器 124.3.2數(shù)學(xué)運(yùn)算指令 134.4自動(dòng)售貨機(jī)PLC控制程序設(shè)計(jì) 134.5自動(dòng)售貨機(jī)的相關(guān)說明 215自動(dòng)售貨機(jī)PLC系統(tǒng)的仿真 225.1S7-200仿真軟件的使用 225.2自動(dòng)售貨機(jī)仿真 22結(jié)論 24致謝 25參考文獻(xiàn) 26摘要本論文此次設(shè)計(jì)的是西門子S7-200系列PLC構(gòu)成的自動(dòng)售貨機(jī),本文闡述了自動(dòng)售貨機(jī)的來源與發(fā)展,以及它在國內(nèi)外的發(fā)展現(xiàn)狀,對(duì)自動(dòng)售貨機(jī)的方案進(jìn)行了論證,介紹了PLC的基本組成、特點(diǎn)以及工作原理,對(duì)基于西門子S7-200系列PLC構(gòu)成的自動(dòng)售貨機(jī)系統(tǒng)整體設(shè)計(jì)過程進(jìn)行了較為細(xì)致的論述。關(guān)鍵詞:PLC;自動(dòng)售貨機(jī);西門子1緒論自動(dòng)售貨機(jī)是可完成無人自動(dòng)售貨,集光、機(jī)、電一體化的商業(yè)自動(dòng)化設(shè)備。自動(dòng)售貨機(jī)具有不受任何場地限制,方便快捷,可以每天24h售貨的優(yōu)點(diǎn)。傳統(tǒng)的自動(dòng)售貨機(jī)控制系統(tǒng)采用單片機(jī)作為控制核心,這樣不僅會(huì)在輸入輸出接口上做大量的工作,而且系統(tǒng)的抗干擾性也比較差??删幊踢壿嬁刂破鳎≒rogrammableLogicalController),簡稱PLC,是綜合了計(jì)算機(jī)技術(shù)、自動(dòng)控制技術(shù)和通訊技術(shù)而發(fā)展起來的一種新型的工業(yè)控制裝置,它具有可靠性高、編程簡單、維護(hù)方便等優(yōu)點(diǎn),已在工業(yè)控制領(lǐng)域得到廣泛地應(yīng)用。因?yàn)樽詣?dòng)售貨機(jī)作為社會(huì)上完成商品零售和綜合服務(wù)的獨(dú)立設(shè)施,要求它的結(jié)構(gòu)必須可靠、穩(wěn)定和執(zhí)行效率高。PLC是以CPU為核心,綜合了計(jì)算機(jī)技術(shù)和通信技術(shù)發(fā)展起來的一種通用的工業(yè)自動(dòng)控制裝置,是現(xiàn)代工業(yè)控制的三大支柱(PLC、機(jī)器人和CAD/CAM)之一。目前,可編程控制器在國內(nèi)外已廣泛應(yīng)用于鋼鐵、石油、化工、電力、建材、機(jī)械制造、汽車、輕紡、交通運(yùn)輸、環(huán)保等各行各業(yè)。PLC的發(fā)展與計(jì)算機(jī)技術(shù)、半導(dǎo)體技術(shù)、控制技術(shù)、數(shù)字技術(shù)、通信網(wǎng)絡(luò)技術(shù)等高新技術(shù)的發(fā)展息息相關(guān),這些高新技術(shù)的發(fā)展推動(dòng)了PLC的發(fā)展,而PLC的發(fā)展又對(duì)這些高新技術(shù)提出了更高更新的要求,促進(jìn)了他們的發(fā)展。本次設(shè)計(jì)就在于結(jié)合PLC的種種優(yōu)點(diǎn)聯(lián)系實(shí)際情況來彌補(bǔ)原有系統(tǒng)的不足,利用PLC控制的自動(dòng)售貨機(jī)提高了系統(tǒng)的穩(wěn)定性,保證了自動(dòng)售貨機(jī)能夠長期穩(wěn)定的運(yùn)行。2自動(dòng)售貨機(jī)的相關(guān)說明2.1現(xiàn)有自動(dòng)售貨機(jī)分析 自動(dòng)售貨機(jī)最早出現(xiàn)在二十世紀(jì)五、六十年代的西歐,英國是較早實(shí)行自動(dòng)售貨機(jī)售貨的國家之一。1942年,在食品銷售中首先推廣了自動(dòng)售貨的銷售方式。1950年。英國食品雜貨行有500家采用自動(dòng)售貨機(jī)售貨。1969年,采用自動(dòng)售貨機(jī)售貨的商家增加到23000家,銷售的商品擴(kuò)展到文化用品、唱片、香煙、食品等多個(gè)方面。進(jìn)入70年代后,約有40多萬家香煙、飲料店采用自動(dòng)售貨機(jī)。1980年英國有50余萬臺(tái)自動(dòng)售貨機(jī),年銷售額達(dá)8.81億英鎊。70年代后,日本、歐美等發(fā)達(dá)國家和地區(qū)自動(dòng)售貨機(jī)迅猛的發(fā)展,短短30年,發(fā)達(dá)國家自動(dòng)售貨機(jī)產(chǎn)業(yè)已發(fā)展到相當(dāng)大規(guī)模。自動(dòng)售貨機(jī)被廣泛地布放于車站、油站、碼頭、機(jī)場、賓館、寫字樓、娛樂場所及大街小巷和公路旁,人們通過自動(dòng)售貨機(jī)可以買到食品、香煙、飲料、報(bào)紙、票、卡甚至鮮花和小寵物等物品。自動(dòng)售貨機(jī)實(shí)現(xiàn)了商品需求化、性能多樣化的發(fā)展,又由原來的只能出售有限商品品種,轉(zhuǎn)變?yōu)槔^百貨公司、超級(jí)市場、便民店之后,以消費(fèi)者與售貨機(jī)“一對(duì)一”自動(dòng)售貨的無店鋪銷售狀態(tài)。目前自動(dòng)售貨機(jī)是集聲、光、機(jī)電一體化的高新智能化產(chǎn)品,在我國也開始得到應(yīng)用。在中國人們可以看到現(xiàn)代化的自動(dòng)售貨機(jī)擺放在一些大商場門口、繁華街道兩旁、公園入口處以及其它熱鬧的場所。自動(dòng)售貨機(jī)的新奇、文明、高檔、晝夜服務(wù)、占地小、靈活方便深受許多地區(qū)市民的青睞,甚至出現(xiàn)許多排隊(duì)購買的現(xiàn)象。專家測算,中國的自動(dòng)售貨機(jī)在市場容量最保守算應(yīng)該有四十七萬臺(tái),一年的銷售額可達(dá)三百五十億元若按人均臺(tái)數(shù)計(jì)算全年可達(dá)五百億,自動(dòng)售貨機(jī)在日本達(dá)到平均每二三十人一臺(tái),在美國達(dá)到每四十人一臺(tái),在歐洲每六十人一臺(tái),由于中國經(jīng)濟(jì)與上述國家還有一定差距,按每五百人一臺(tái)計(jì)算。因此,自動(dòng)售貨機(jī)在中國有著廣闊的發(fā)展前景。從自動(dòng)售貨機(jī)的發(fā)展趨勢來看,它的出現(xiàn)是由于勞動(dòng)密集型的產(chǎn)業(yè)構(gòu)造向技術(shù)密集型社會(huì)轉(zhuǎn)變的產(chǎn)物。大量生產(chǎn)、大量消費(fèi)以及消費(fèi)模式和銷售環(huán)境的變化,要求出現(xiàn)新的流通渠道;而相對(duì)的超市、百貨購物中心等新的流通渠道的產(chǎn)生,人工費(fèi)用也不斷上升;再加上場地的局限性以及購物的便利性等這些因素的制約,無人自動(dòng)售貨機(jī)作為一種必須的機(jī)器便應(yīng)運(yùn)而生了。從廣義來講自動(dòng)售貨機(jī)就是在投入硬幣、紙幣、信用卡等后便可以銷售商品的機(jī)械,從狹義來講就是自動(dòng)銷售商品的機(jī)械。從供給的條件看,自動(dòng)售貨機(jī)可以充分補(bǔ)充人力資源的不足,適應(yīng)消費(fèi)環(huán)境和消費(fèi)模式的變化,24小時(shí)無人售貨的系統(tǒng)可以更省力,運(yùn)營時(shí)需要的資本少、面積小,有吸引人們購買好奇心的自身性能,可以很好地解決人工費(fèi)用上升的問題等各項(xiàng)優(yōu)點(diǎn)。2.2自動(dòng)售貨機(jī)設(shè)計(jì)的主要內(nèi)容(1)此自動(dòng)售貨機(jī)可以投入五角、一元的硬幣;(2)如果顧客投入硬幣在限定的時(shí)間內(nèi)(20秒)不按任何按鍵,自動(dòng)售貨機(jī)將退還所投硬幣;(3)當(dāng)投入硬幣的總值等于或超過物品價(jià)值時(shí),對(duì)應(yīng)的物品指示燈亮綠燈;(4)當(dāng)物品對(duì)應(yīng)的指示燈亮綠燈時(shí),按下相應(yīng)的按鍵,相應(yīng)的物品排出,同時(shí)指示燈綠燈閃爍;(5)如果顧客投入硬幣總值超過所選物品的價(jià)值時(shí),自動(dòng)售貨機(jī)會(huì)自動(dòng)將余款退還顧客;(6)當(dāng)顧客成功購買完一件物品后20秒無操作,自動(dòng)售貨機(jī)會(huì)自動(dòng)將余款退還顧客;(7)如果顧客投入硬幣后又不想買物品,按下退款按鍵,自動(dòng)售貨機(jī)會(huì)自動(dòng)將余款退還顧客;(8)找零時(shí)顧客可選擇退一元的還是五角的硬幣;(9)當(dāng)物品不足時(shí),對(duì)應(yīng)的物品指示燈亮紅燈,顧客按下相應(yīng)的按鍵無反應(yīng)(不出物品,不減余額),并向總部發(fā)送所缺物品。2.3自動(dòng)售貨機(jī)設(shè)計(jì)的基本思路本次設(shè)計(jì)的題目是基于PLC的自動(dòng)售貨機(jī)設(shè)計(jì),主要是用西門子S7-200型PLC的梯形圖來設(shè)計(jì)控制程序,并簡單介紹相關(guān)的知識(shí),如:PLC的編程語言、PLC的硬件組成等。根據(jù)設(shè)計(jì)內(nèi)容和控制要求畫出梯形圖,再根據(jù)PLC的相關(guān)知識(shí)設(shè)計(jì)出它的外部接線圖,結(jié)合梯形圖和外部接線圖分析說明該設(shè)計(jì)的控制原理過程。3PLC控制自動(dòng)售貨機(jī)的主要硬件及選型3.1自動(dòng)售貨機(jī)的主要硬件系統(tǒng)組成自動(dòng)售貨機(jī)的硬件系統(tǒng)主要由以下幾個(gè)部分組成:(1)主控制板(2)溫控模塊溫度采集和加熱制冷控制。(3)貨幣識(shí)別模塊判斷所出售的物品是否是被允許販賣的物品,并作出相應(yīng)的處理。每一件被允許販賣的物品都有一個(gè)電子身份證,當(dāng)顧客選中的某件物品落入出貨口時(shí),將會(huì)被自動(dòng)識(shí)別是否是被允許販賣的物品,若是,則出貨口的門可以被推開,綠色指示燈閃亮,讓顧客取貨;否則,出貨口的門不能推開,紅色指示燈閃亮,信息即時(shí)傳送到遙控管理系統(tǒng)中心,同時(shí),自動(dòng)售貨機(jī)停止工作,所有的門都被鎖死,管理機(jī)構(gòu)可以派專人去現(xiàn)場,使用專用手提識(shí)別機(jī),對(duì)被懷疑的物品以及其他所有物品進(jìn)行檢查,并作出處理。物品電子身份證包含預(yù)先設(shè)定的多項(xiàng)信息編碼,經(jīng)加密處理,唯有自動(dòng)售貨機(jī)上的識(shí)別系統(tǒng)、管理機(jī)構(gòu)的手提識(shí)別機(jī)或其他識(shí)別裝置方可識(shí)別。(4)銷售模塊完成一個(gè)整體的銷售動(dòng)作,一般都是電機(jī)驅(qū)動(dòng)特定的機(jī)構(gòu)實(shí)現(xiàn)。(5)人機(jī)模塊包括鍵盤和顯示,有的機(jī)器還加上了顯示屏,可以投放廣告。(6)通訊模塊目前僅部分高端機(jī)器有此功能,一般都是采用GPRS模塊,可以完成銷售統(tǒng)計(jì),原料補(bǔ)給,廣告管理,以及一些會(huì)員服務(wù)。3.2PLC的選擇隨著PLC技術(shù)的發(fā)展,PLC產(chǎn)品的種類也越來越多,而且功能也日趨完善。近年來,從德國、日本、美國等引進(jìn)的PLC產(chǎn)品和國內(nèi)廠家組裝自行開發(fā)的產(chǎn)品,已有幾十個(gè)、上百種型號(hào)。PLC的品種繁多,其結(jié)構(gòu)形式、性能、容量、指令系統(tǒng)、編程方式、價(jià)格等各有不同,適用的場合也各有側(cè)重。因此,合理的選擇PLC,對(duì)于提高PLC控制系統(tǒng)技術(shù)經(jīng)濟(jì)指標(biāo)有著重要意義。下面從PLC的機(jī)型選擇、容量選擇、I/O模塊選擇、電源模塊選擇等方面分別加以介紹。3.2.1PLC的機(jī)型選擇機(jī)型選擇的基本原則是在滿足功能要求及保證可靠、維護(hù)方便的前提下,力爭最佳的性能價(jià)格比。(1)合理的結(jié)構(gòu)型式;(2)安裝方式的選擇;(3)相當(dāng)?shù)墓δ芤?;?)響應(yīng)速度的要求;(5)系統(tǒng)可靠性的要求;(6)機(jī)型統(tǒng)一。3.2.2PLC的容量選擇PLC的容量包括I/O點(diǎn)數(shù)和用戶存儲(chǔ)容量兩個(gè)方面。(1)I/O點(diǎn)數(shù)通常I/O點(diǎn)數(shù)是根據(jù)被控對(duì)象的輸入、輸出信號(hào)的實(shí)際需要,再加上10%—15%的備用量來確定。(2)用戶存儲(chǔ)容量用戶存儲(chǔ)容量是指PLC用于存儲(chǔ)用戶程序的存儲(chǔ)器容量。需要的用戶存儲(chǔ)容量的大小由用戶程序的長短決定。一般可只按下式估算,再按實(shí)際需要留適當(dāng)?shù)挠嗔浚?0%—30%)來選擇。存儲(chǔ)容量=開關(guān)量I/O點(diǎn)數(shù)*10+模擬量通道數(shù)*100絕大部分PLC均能滿足上式要求。應(yīng)當(dāng)注意的是:當(dāng)控制系統(tǒng)較復(fù)雜、數(shù)據(jù)處理量較大時(shí),可能會(huì)出現(xiàn)存儲(chǔ)容量不夠的問題,這時(shí)應(yīng)特殊對(duì)待。3.2.3I/O模塊的選擇一般I/O模塊的價(jià)格占PLC價(jià)格的一半以上。不同的I/O模塊,其電路及功能也不同,直接影響PLC的應(yīng)用范圍和價(jià)格。下面僅介紹有關(guān)開關(guān)量I/O模塊的選擇。(1)開關(guān)量輸入模塊的選擇PLC的輸入模塊是用來檢測接收現(xiàn)場輸入設(shè)備的信號(hào),并將輸入的信號(hào)轉(zhuǎn)換為PLC內(nèi)部接受的低電壓信號(hào)。1)輸入信號(hào)的類型及電壓等級(jí)的選擇常用的開關(guān)量模塊的信號(hào)類型有三種:直流輸入、交流輸入和交流/直流輸入。選擇時(shí)一般根據(jù)現(xiàn)場輸入信號(hào)及周圍環(huán)境來決定。按輸入信號(hào)的電壓大小分類有:直流5V、24V、48V、60V等;交流110V、220V等。選擇時(shí)應(yīng)根據(jù)現(xiàn)場輸入設(shè)備與輸入模塊之間的距離來決定。2)輸入接線方式選擇按輸入電路接線方式的不同,開關(guān)量輸入模塊可分為匯點(diǎn)式輸入和分組式輸入兩種。3)同時(shí)接通的輸入點(diǎn)數(shù)量對(duì)于選用高密度的輸入模塊,應(yīng)考慮該模塊同時(shí)接通的點(diǎn)數(shù)一般不要超過輸入點(diǎn)數(shù)的60%。(2)開關(guān)量輸出模塊的選擇輸出模塊是將PLC內(nèi)部低電壓信號(hào)轉(zhuǎn)換為外部輸出設(shè)備所需的驅(qū)動(dòng)信號(hào)。選擇時(shí)主要應(yīng)考慮負(fù)載電壓的種類和大小、系統(tǒng)對(duì)延遲時(shí)間的要求、負(fù)載狀態(tài)變化是否頻繁等。1)輸出方式的選擇開關(guān)量輸出模塊有三種輸出方式:繼電器輸出、晶閘管輸出和晶體管輸出。2)輸出接線方式的選擇按PLC的輸出接線方式的不同,一般有分組式輸出和分隔式輸出兩種。3)輸出電流的選擇輸出模塊的輸出電流必須大于負(fù)載的額定電流。用戶應(yīng)根據(jù)實(shí)際負(fù)載電流的大小選擇模塊的輸出電流。4)同時(shí)接通的輸出點(diǎn)數(shù)量選擇輸出模塊時(shí),還應(yīng)考慮能同時(shí)接通的輸出點(diǎn)數(shù)量。同時(shí)接通輸出的累計(jì)電流值必須小于公共端所允許通過的電流值。5)輸出的最大負(fù)載電流與負(fù)載類型、環(huán)境溫度等因素的關(guān)系。3.3自動(dòng)售貨機(jī)PLC硬件控制電路設(shè)計(jì)3.3.1自動(dòng)售貨機(jī)的工作流程自動(dòng)售貨機(jī)是機(jī)電一體化的自動(dòng)化裝置,在接收到貨幣已輸入的前提下,靠觸摸控制按扭輸入信號(hào)使控制器啟動(dòng)相關(guān)位置的機(jī)械裝置來完成規(guī)定動(dòng)作,將貨物輸出。(1)用戶將貨幣投入投幣口,貨幣識(shí)別器對(duì)所投貨幣進(jìn)行識(shí)別;(2)控制器根據(jù)金額將商品可售賣信息通過選貨按鍵指示燈提供給用戶,由用戶自主選擇欲購買的商品;(3)按下用戶選擇商品所對(duì)應(yīng)的按鍵,控制器接收到按鍵所傳遞過來的信息,驅(qū)動(dòng)相應(yīng)部件,售出用戶選擇的商品到達(dá)出貨口;(4)如果還有足夠的余額,則可繼續(xù)購買。在20秒之內(nèi),自動(dòng)售貨機(jī)將自動(dòng)找出零幣或用戶旋轉(zhuǎn)退幣旋鈕,退出零幣;(5)從退幣口取出零幣完成此次交易。3.3.2自動(dòng)售貨機(jī)I/O點(diǎn)的分配輸入/輸出單元通常也稱I/O單元或I/O模塊,是PLC與工業(yè)生產(chǎn)現(xiàn)場之間的連接部件。PLC通過輸入接口可以檢測被控對(duì)象的各種數(shù)據(jù),以這些數(shù)據(jù)作為PLC對(duì)被控對(duì)象進(jìn)行控制的依據(jù);同時(shí)PLC又通過輸出接口將處理結(jié)果送給被控對(duì)象,以實(shí)現(xiàn)控制目的。本次自動(dòng)售貨機(jī)I/O點(diǎn)的分配表如表3-1所示。表3-1自動(dòng)售貨機(jī)PLC控制I/O地址分配表輸入信號(hào)PLC地址輸出信號(hào)PLC地址找零按鈕I0.0五角食品紅燈(售完)Q0.0五角食品按鈕I0.1一元食品紅燈(售完)Q0.1一元食品按鈕I0.2一元飲料紅燈(售完)Q0.2一元飲料按鈕I0.3二元飲料紅燈(售完)Q0.3二元飲料按鈕I0.4二元日用紅燈(售完)Q0.4二元日用按鈕I0.5三元日用紅燈(售完)Q0.5三元日用按鈕I0.6找零Q0.6五角投幣按鈕I0.7五角食品出口Q0.7一元投幣按鈕I1.0一元食品出口Q1.0五角找零按鈕I1.1一元飲料出口Q1.1一元找零按鈕I1.2二元飲料出口Q1.2五角食品行程開關(guān)I1.3二元日用出口Q1.3一元食品行程開關(guān)I1.4三元日用出口Q1.4一元飲料行程開關(guān)I1.5五角食品綠燈(可購買)Q1.5二元飲料行程開關(guān)I1.6一元食品綠燈(可購買)Q1.6二元日用行程開關(guān)I1.7一元飲料綠燈(可購買)Q1.7三元日用行程開關(guān)I2.0二元飲料綠燈(可購買)Q2.0二元日用綠燈(可購買)Q2.1三元日用綠燈(可購買)Q2.23.3.3自動(dòng)售貨機(jī)的I/O接口圖此次自動(dòng)售貨機(jī)的I/O接口圖如圖3-1所示,SB2-SB7是物品選擇按鈕,當(dāng)投入貨幣物品綠燈亮(Q1.5-Q2.2)。按下SB2-SB7其中一個(gè),對(duì)應(yīng)的KM線圈得電,電機(jī)轉(zhuǎn)動(dòng)出相應(yīng)的物品(Q0.7-Q1.4);當(dāng)有物品售完,其行程開關(guān)斷開,物品紅燈亮并同時(shí)向總部發(fā)送物品銷售完的信息;當(dāng)購買物品總值低于投入總值時(shí),可按找零按鈕SB1選擇找一元還是五角(SB10、SB11)后KM1得電,電機(jī)轉(zhuǎn)動(dòng)找零(Q0.6)。圖3-1自動(dòng)售貨機(jī)PLC控制I/O接口圖3.4自動(dòng)售貨過程的控制子系統(tǒng)簡述控制子系統(tǒng)由以下四個(gè)部分組成:(1)預(yù)設(shè)售貨價(jià)格系統(tǒng)自動(dòng)售貨機(jī)售出的同類商品可分若干品種,其售前設(shè)定價(jià)格須預(yù)先貯存在控制系統(tǒng)內(nèi)。自動(dòng)售貨機(jī)的價(jià)格設(shè)置系統(tǒng)由按鍵和存儲(chǔ)器組成。通過按鍵選擇被設(shè)價(jià)商品的種類及價(jià)格,并將價(jià)格寫入存儲(chǔ)器,該存儲(chǔ)器本身具有斷電保護(hù)功能,屬于軟件設(shè)置方式。此外,在簡易型售貨機(jī)中,由于出售商品種類少,價(jià)格變化小,使用幣種單一,也可用硬件來設(shè)置價(jià)格,采用撥碼開關(guān)對(duì)應(yīng)方式,結(jié)構(gòu)簡單、操作方便。(2)金額累計(jì)、可售指示和退幣系統(tǒng)控制系統(tǒng)的核心CPU對(duì)識(shí)幣、退幣、無貨檢測及購貨信號(hào)進(jìn)行巡回檢查,當(dāng)有識(shí)幣信號(hào)后,將金額數(shù)值送入金額累計(jì)存儲(chǔ)器中進(jìn)行累計(jì),并通過串行擴(kuò)展口進(jìn)行顯示,同時(shí)將金額累計(jì)存儲(chǔ)器中的數(shù)值與預(yù)設(shè)價(jià)格存儲(chǔ)器中的數(shù)值進(jìn)行比較,如金額累計(jì)數(shù)值等于或大于預(yù)設(shè)價(jià)格數(shù)值,則通過串行接口使可售指示燈亮,提示購貨。此時(shí)可按下購貨按鈕,由CPU檢測到購貨信號(hào)后,如金額累計(jì)存儲(chǔ)器中數(shù)值與預(yù)設(shè)價(jià)格相等,則通過并行擴(kuò)展接口驅(qū)動(dòng)電磁閥或微電機(jī)驅(qū)動(dòng)出商品,并將金額累計(jì)存儲(chǔ)器清零,完成一次售貨過程;如累計(jì)金額大于預(yù)設(shè)價(jià)格時(shí),驅(qū)動(dòng)商品后,相減差額由信號(hào)驅(qū)動(dòng)退幣,實(shí)現(xiàn)售貨和退幣找零功能。(3)售完檢測系統(tǒng)在自動(dòng)售貨機(jī)商品貯存道下方安裝有接觸行程開關(guān),當(dāng)貯存道內(nèi)有商品時(shí),壓下行程開關(guān),售貨機(jī)正常工作;當(dāng)商品出售完畢時(shí),行程開關(guān)被釋放,向CPU發(fā)出無貨信號(hào),經(jīng)檢測通過串行擴(kuò)展接口向相應(yīng)的售完指示燈發(fā)出信號(hào),使機(jī)身外部“售完”指示燈亮,此種商品自動(dòng)停售,即使投幣累計(jì)金額達(dá)到該道商品預(yù)設(shè)價(jià)格數(shù)值,可售按鈕仍然無啟動(dòng)信號(hào),金額累計(jì)存儲(chǔ)器也不清零。(4)售出累計(jì)及自測功能系統(tǒng)該機(jī)CPU在每次售出商品后,向售出累計(jì)存儲(chǔ)器中累計(jì)售出數(shù)據(jù),通過售貨機(jī)內(nèi)部的按鍵可在金額顯示窗中讀到累計(jì)結(jié)果。此外,在按下自測功能鍵后,出現(xiàn)自測功能信號(hào),由外部按鍵操作,可檢測各商品存儲(chǔ)道的驅(qū)動(dòng)商品功能。4自動(dòng)售貨機(jī)的PLC控制系統(tǒng)設(shè)計(jì)4.1PLC應(yīng)用系統(tǒng)設(shè)計(jì)的內(nèi)容和步驟4.1.1PLC控制系統(tǒng)設(shè)計(jì)的基本原則任何一種電氣控制系統(tǒng)都是為了實(shí)現(xiàn)生產(chǎn)設(shè)備或生產(chǎn)過程的控制要求和工藝需要,從而提高產(chǎn)品質(zhì)量和生產(chǎn)效率。因此,在設(shè)計(jì)PLC應(yīng)用系統(tǒng)時(shí),應(yīng)遵循以下基本原則:(1)充分發(fā)揮PLC功能,最大限度地滿足被控對(duì)象的控制要求;(2)在滿足控制要求的前提下,力求使控制系統(tǒng)簡單、經(jīng)濟(jì)、使用及維修方便;(3)保證控制系統(tǒng)安全可靠;(4)應(yīng)考慮生產(chǎn)的發(fā)展和工藝的改進(jìn),在選擇PLC的型號(hào)、I/O點(diǎn)數(shù)和存儲(chǔ)器容量等內(nèi)容時(shí),應(yīng)留有適當(dāng)?shù)挠嗔浚岳谙到y(tǒng)的調(diào)整和擴(kuò)充。4.1.2PLC控制系統(tǒng)設(shè)計(jì)的一般步驟設(shè)計(jì)PLC應(yīng)用系統(tǒng)時(shí),首先是進(jìn)行PLC應(yīng)用系統(tǒng)的功能設(shè)計(jì),即根據(jù)被控對(duì)象的功能和工藝要求,明確系統(tǒng)必須要做的工作和因此必備的條件。然后是進(jìn)行PLC應(yīng)用系統(tǒng)的功能分析,即通過分析系統(tǒng)功能,提出PLC控制系統(tǒng)的結(jié)構(gòu)形式,控制信號(hào)的種類、數(shù)量、系統(tǒng)的規(guī)模、布局。最后根據(jù)系統(tǒng)分析的結(jié)果,具體的確定PLC的機(jī)型和系統(tǒng)的具體配置。PLC控制系統(tǒng)設(shè)計(jì)可以按以下步驟進(jìn)行:(1)熟悉被控對(duì)象,制定控制方案分析被控對(duì)象的工藝過程及工作特點(diǎn),了解被控對(duì)象機(jī)、電、液之間的配合,確定被控對(duì)象對(duì)PLC控制系統(tǒng)的控制要求。(2)確定I/O設(shè)備根據(jù)系統(tǒng)的控制要求,確定用戶所需的輸入(如按鈕、行程開關(guān)、選擇開關(guān)等)和輸出設(shè)備(如接觸器、電磁閥、信號(hào)指示燈等),由此確定PLC的I/O點(diǎn)數(shù)。(3)選擇PLC選擇時(shí)主要包括PLC機(jī)型、容量、I/O模塊、電源的選擇。(4)分配PLC的I/O地址根據(jù)生產(chǎn)設(shè)備現(xiàn)場需要,確定控制按鈕,選擇開關(guān)、接觸器、電磁閥、信號(hào)指示燈等各種輸入輸出設(shè)備的型號(hào)、規(guī)格、數(shù)量;根據(jù)所選的PLC的型號(hào),列出輸入/輸出設(shè)備與PLC輸入輸出端子的對(duì)照表,以便繪制PLC外部I/O接線圖和編程程序。(5)設(shè)計(jì)軟件及硬件進(jìn)行PLC程序設(shè)計(jì),進(jìn)行控制柜(臺(tái))等硬件的設(shè)計(jì)及現(xiàn)場施工。由于程序與硬件設(shè)計(jì)可同時(shí)進(jìn)行,因此,PLC控制系統(tǒng)的設(shè)計(jì)周期可大大縮短,而對(duì)于繼電器系統(tǒng)必須先設(shè)計(jì)出全部的電氣控制線路后才能進(jìn)行施工設(shè)計(jì)。(6)聯(lián)機(jī)調(diào)試聯(lián)機(jī)調(diào)試是指將模擬調(diào)試通過的程序進(jìn)行在線統(tǒng)試。開始時(shí),先帶上輸出設(shè)備(接觸器線圈、信號(hào)指示燈等),在帶負(fù)載進(jìn)行調(diào)試。利用編程器的監(jiān)控功能,采用分段調(diào)試的方法進(jìn)行。各部分到調(diào)試正常后,再帶上實(shí)際負(fù)載運(yùn)行。如不符合要求,則對(duì)硬件和程序作調(diào)整。通常只需修改部分程序即可。全部調(diào)試完畢后,交付試運(yùn)行。經(jīng)過一段時(shí)間運(yùn)行,如果工作正常、程序不需要修改則應(yīng)將程序固化到EPROM中,以防程序丟失。(7)整理技術(shù)文件包括設(shè)計(jì)說明書、電氣安裝圖、電氣元件明細(xì)表及使用說明書等。4.2PLC總體設(shè)計(jì)流程PLC的一般工作過程流程圖見圖4-1。圖4-1PLC工作過程流程圖4.3自動(dòng)售貨機(jī)的軟件設(shè)計(jì)4.3.1定時(shí)器定時(shí)器是PLC中重要的編程元件,是累計(jì)時(shí)間增量的內(nèi)部器件。定時(shí)器的工作過程與繼電器控制系統(tǒng)的時(shí)間繼電器基本相同,但它沒有瞬動(dòng)觸電,失電時(shí),常閉觸點(diǎn)閉合,常開觸電打開;定時(shí)器得電時(shí),常閉觸點(diǎn)打開,常開觸點(diǎn)閉合,定時(shí)器的當(dāng)前值、設(shè)定值均為10位有符號(hào)整數(shù)(INT),允許最大值為32767。定時(shí)器指令表見表4-1。4.3.2數(shù)學(xué)運(yùn)算指令在梯形圖中,整數(shù)、雙整數(shù)與浮點(diǎn)數(shù)的加、減、乘、除指令(見表4-2)分別執(zhí)行下列運(yùn)算:IN1+IN2=OUT,IN1-IN2=OUT,IN1*IN2=OUT,IN1/IN2=OUT。在語句表中,整數(shù)、雙整數(shù)與浮點(diǎn)數(shù)的加、減、乘、除指令分別執(zhí)行下列運(yùn)算:IN1+OUT=OUT,OUT-IN1=OUT,IN1*OUT=OUT,OUT/IN2=OUT。表4-1定時(shí)器指令梯形圖功能、注釋TON接通延時(shí)定時(shí)器TOF斷開延時(shí)定時(shí)器TONR保持型接通延時(shí)定時(shí)器BITIM起動(dòng)間隔定時(shí)器CITIM計(jì)算間隔定時(shí)器表4-2加減乘除指令梯形圖語句表描述梯形圖語句表描述ADD_I+IINT1,OUT整數(shù)加法DIV_DI/DINT1,OUT雙整數(shù)除法SUB_I-IINT1,OUT整數(shù)減法ADD_R+RINT1,OUT實(shí)數(shù)加法MUL_I*IINT1,OUT整數(shù)乘法SUB_R-RINT1,OUT實(shí)數(shù)減法DIV_I/IINT1,OUT整數(shù)除法MUL_R*RINT1,OUT實(shí)數(shù)乘法ADD_DI+DINT1,OUT雙整數(shù)加法DIV_R/RINT1,OUT實(shí)數(shù)除法SUB_DI-DINT1,OUT雙整數(shù)減法MULMULINT1,OUT整數(shù)乘法產(chǎn)生雙整數(shù)MUL_DI*DINT1,OUT雙整數(shù)乘法DIVDIVINT1,OUT帶余數(shù)的整數(shù)除法4.4自動(dòng)售貨機(jī)PLC控制程序設(shè)計(jì)本次設(shè)計(jì)的自動(dòng)售貨機(jī)主要銷售食品、飲料、日用三大類,而食品有五角和一元,飲料有一元和二元,日用有二元和三元共六種。本次自動(dòng)售貨機(jī)PLC控制梯形圖,如圖4-2所示:圖4-2自動(dòng)售貨機(jī)PLC控制梯形圖(1)圖4-2自動(dòng)售貨機(jī)PLC控制梯形圖(2)圖4-2自動(dòng)售貨機(jī)PLC控制梯形圖(3)圖4-2自動(dòng)售貨機(jī)PLC控制梯形圖(4)圖4-2自動(dòng)售貨機(jī)PLC控制梯形圖(5)圖4-2自動(dòng)售貨機(jī)PLC控制梯形圖(6)圖4-2自動(dòng)售貨機(jī)PLC控制梯形圖(7)圖4-2自動(dòng)售貨機(jī)PLC控制梯形圖(8)4.5自動(dòng)售貨機(jī)的相關(guān)說明上面梯形圖中ADD_DI是雙整數(shù)加法,5角時(shí)加5,投入1元時(shí)加10;SUB_DI是雙整數(shù)減法,當(dāng)成功購買物品時(shí)就減去物品對(duì)應(yīng)的數(shù);T37-T56表示通電延時(shí)定時(shí)器,按下物品按鈕時(shí)T37-T42延時(shí)8秒,無操作時(shí)T43啟動(dòng)計(jì)時(shí)20秒,T44與T43配合組成投幣2分鐘無操作找零,T46-T56兩兩配合形成閃爍電路。5自動(dòng)售貨機(jī)PLC系統(tǒng)的仿真根據(jù)第4章的內(nèi)容,要將已經(jīng)設(shè)計(jì)好的程序進(jìn)行調(diào)試。在這里用S7-200仿真軟件對(duì)設(shè)計(jì)好的程序進(jìn)行調(diào)試。該軟件不能模擬S7-200的全部功能,但對(duì)于開關(guān)量的模擬運(yùn)行調(diào)試還是足夠的。5.1S7-200仿真軟件的使用(1)硬件設(shè)置執(zhí)行菜單命令“配置”→“CPU型號(hào)”,在“CPU型號(hào)”對(duì)話框的下拉式列表框中選擇CPU型號(hào)。用戶還可以修改CPU的網(wǎng)絡(luò)地址,一般使用默認(rèn)的地址。(2)生成ASCLL文本文件仿真軟件不能直接接受S7-200的程序代碼,S7-200的用戶程序必須用“導(dǎo)出”功能裝換為ASCLL文本文件后,在下載到仿真軟件中去。(3)下載程序生成文本文件后,點(diǎn)擊仿真軟件佛工具條中左邊第二個(gè)按鈕可以下載程序。(4)模擬調(diào)試程序用鼠標(biāo)點(diǎn)擊CPU模塊下面的開關(guān)板上小開關(guān)上面黑色部分,可以使小開關(guān)的手柄向上,觸點(diǎn)閉合,PLC輸入點(diǎn)對(duì)應(yīng)的LED變?yōu)榫G色。點(diǎn)擊小開關(guān)下面的黑色部分,觸點(diǎn)斷開,PLC輸入點(diǎn)對(duì)應(yīng)的LED變?yōu)榛疑?。與用“正真”的PLC做實(shí)驗(yàn)相同,對(duì)于數(shù)字量控制,在RUN模式用鼠標(biāo)切換各個(gè)小開關(guān)的通斷狀態(tài),改變PLC輸入變量的狀態(tài),通過模塊上的LED觀察PLC輸出點(diǎn)的狀態(tài)變化,可以了解程序執(zhí)行的結(jié)果是否正確。5.2自動(dòng)售貨機(jī)仿真(1)CPU的選擇和擴(kuò)展模塊選擇本次自動(dòng)售貨機(jī)的仿真,首先配置CPU的型號(hào),此次自動(dòng)售貨機(jī)的設(shè)計(jì)共有17點(diǎn)輸入和19點(diǎn)輸出,從實(shí)用角度和經(jīng)濟(jì)角度考慮,我選用了CPU226,擴(kuò)展模塊我選用了1個(gè)4點(diǎn)輸入4點(diǎn)輸出的EM223(4I/4Q),如圖5-1所示。圖5-1自動(dòng)售貨機(jī)選用CPU和擴(kuò)展模塊(2)自動(dòng)售貨機(jī)仿真模擬選擇好CPU型號(hào)和擴(kuò)展模塊后,將梯形圖程序編譯引出,在仿真軟件中把引出的ASCLL文件引入仿真軟件,開啟仿真軟件就可以仿真。圖5-2出五角食品仿真如圖5-2所示,先按下五角投幣按鈕I0.7,雙整數(shù)加法指令加五,此時(shí)余額大

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論