電工電子技術(shù) 課件 第11章 觸發(fā)器與時(shí)序邏輯電路_第1頁
電工電子技術(shù) 課件 第11章 觸發(fā)器與時(shí)序邏輯電路_第2頁
電工電子技術(shù) 課件 第11章 觸發(fā)器與時(shí)序邏輯電路_第3頁
電工電子技術(shù) 課件 第11章 觸發(fā)器與時(shí)序邏輯電路_第4頁
電工電子技術(shù) 課件 第11章 觸發(fā)器與時(shí)序邏輯電路_第5頁
已閱讀5頁,還剩58頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

第11章觸發(fā)器與時(shí)序邏輯電路11.1雙穩(wěn)態(tài)觸發(fā)器

11.2

基本RS觸發(fā)器11.3鐘控觸發(fā)器的邏輯功能11.4時(shí)序邏輯電路分析

11.5寄存器11.6計(jì)數(shù)器

實(shí)訓(xùn)11計(jì)數(shù)器及其應(yīng)用11.1雙穩(wěn)態(tài)觸發(fā)器

在數(shù)字系統(tǒng)中不但需要對(duì)“0”、“1”信息進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算,還需要將這些信息和運(yùn)算結(jié)果保存起來。為此,需要使用具有記憶功能的單元電路。能夠存儲(chǔ)0、1信息的基本單元電路稱為觸發(fā)器(Flip-Flop)。觸發(fā)器屬于雙穩(wěn)態(tài)電路。任何具有兩個(gè)穩(wěn)定狀態(tài)且可以通過適當(dāng)?shù)男盘?hào)注入方式使其從一個(gè)穩(wěn)定狀態(tài)轉(zhuǎn)換到另一個(gè)穩(wěn)定狀態(tài)的電路都稱為觸發(fā)器。所有觸發(fā)器都具有兩個(gè)穩(wěn)定狀態(tài),但使輸出狀態(tài)從一個(gè)穩(wěn)定狀態(tài)翻轉(zhuǎn)到另一個(gè)穩(wěn)定狀態(tài)的方法卻有多種,由此構(gòu)成了具有各種功能的觸發(fā)器。按照觸發(fā)信號(hào)的控制類型,觸發(fā)器可分為兩種類型。一類是非時(shí)鐘控制觸發(fā)器它的輸入信號(hào)可在不受其他時(shí)鐘控制信號(hào)的作用下,按某一邏輯關(guān)系改變觸發(fā)器的輸出狀態(tài);另一類是時(shí)鐘控制觸發(fā)器,它必須在時(shí)鐘信號(hào)的作用下,才能接收輸入信號(hào)從而改變觸發(fā)器的輸出狀態(tài)。時(shí)鐘控制觸發(fā)器按時(shí)鐘類型又分為電平觸發(fā)和邊沿觸發(fā)兩種類型下一頁返回11.1雙穩(wěn)態(tài)觸發(fā)器11.1.1觸發(fā)器的性質(zhì)觸發(fā)器是一種具有記憶功能,能儲(chǔ)存1位二進(jìn)制信息的邏輯電路。每個(gè)觸發(fā)器都應(yīng)有兩個(gè)互非的輸出端和,并且有兩個(gè)基本性質(zhì):1)在一定的條件下,觸發(fā)器具有兩個(gè)穩(wěn)定的工作狀態(tài)(“1”態(tài)或“0”態(tài))。用觸發(fā)器輸出端Q的狀態(tài)作為觸發(fā)器的狀態(tài)。即當(dāng)輸出Q=1、=0時(shí),表示觸發(fā)器“1”狀態(tài),當(dāng)輸出Q=0、=1,表示觸發(fā)器“0”狀態(tài)。2)在一定外界信號(hào)作用下,觸發(fā)器可以從一個(gè)穩(wěn)定工作狀態(tài)翻轉(zhuǎn)為另一個(gè)穩(wěn)定狀態(tài)。這里所指的“穩(wěn)定”狀態(tài),是指沒有外界信號(hào)的作用時(shí),觸發(fā)器電路中的電流和電壓均維持恒定的數(shù)值。由于觸發(fā)器具有上述的二個(gè)基本性質(zhì),使得觸發(fā)器能夠記憶二進(jìn)制信號(hào)“1”和“0”,被用作二進(jìn)制的存儲(chǔ)單元。上一頁下一頁返回11.1雙穩(wěn)態(tài)觸發(fā)器11.1.2觸發(fā)器的分類觸發(fā)器的種類很多,主要有三種分類方式:根據(jù)電路結(jié)構(gòu)形式的不同,有基本RS觸發(fā)器、同步RS觸發(fā)器、維持阻塞觸發(fā)器、主從觸發(fā)器、COMS邊沿觸發(fā)器等。2)根據(jù)觸發(fā)器邏輯功能的不同,有RS觸發(fā)器、JK觸發(fā)器、T觸發(fā)器、D觸發(fā)器等。3)根據(jù)有無時(shí)鐘信號(hào)來分,有基本觸發(fā)器和時(shí)鐘觸發(fā)器。此外,根據(jù)存儲(chǔ)數(shù)據(jù)的原理不同,還把觸發(fā)器分成靜態(tài)觸發(fā)器和動(dòng)態(tài)觸發(fā)器兩大類。靜態(tài)觸發(fā)器是靠電路狀態(tài)和自鎖存儲(chǔ)數(shù)據(jù)的,而動(dòng)態(tài)觸發(fā)器是通過MOS管柵極輸入電容上存儲(chǔ)電荷來存儲(chǔ)數(shù)據(jù)的。上一頁返回11.2基本RS觸發(fā)器沒有時(shí)鐘脈沖輸入端CP的觸發(fā)器叫基本觸發(fā)器。CP是時(shí)鐘脈沖(Clockpulse)的縮寫。基本RS觸發(fā)器是一種最簡單的觸發(fā)器,是構(gòu)成各種觸發(fā)器的基礎(chǔ)。11.2.1用與非門構(gòu)成的基本觸發(fā)器如圖11-1所示,為一個(gè)由兩個(gè)“與非門”交叉耦合組成的基本觸發(fā)器電路,它有兩個(gè)互非輸出端和,由兩個(gè)輸入端(稱為置位輸入端或置“1”端)和(稱為復(fù)位輸入端或置“0”端)。當(dāng)=1、=1時(shí),不管此時(shí)觸發(fā)器的狀態(tài)是“1”還是“0”,觸發(fā)器都能維持原來的狀態(tài)不變。當(dāng)=0、=1時(shí),不管觸發(fā)器原來為什么狀態(tài),觸發(fā)器狀態(tài)均保持“0”狀態(tài)。當(dāng)=1、=0時(shí),不管觸發(fā)器原來為什么狀態(tài),觸發(fā)器狀態(tài)均保持“1”狀態(tài)。下一頁返回11.2基本RS觸發(fā)器當(dāng)=0、=0時(shí),因此門1、門2輸出“1”,但在、同時(shí)回到“1”以后,基本觸發(fā)器的新狀態(tài)要看G1、G2門翻轉(zhuǎn)的速度誰快誰慢,從邏輯關(guān)系來說是不能確定的,因此在正常工作時(shí)輸入信號(hào)應(yīng)遵守+=1的約束條件,亦即不允許輸入==0的信號(hào)。將上述邏輯關(guān)系列出真值表,就得到表11-1。其中,觸發(fā)器新的狀態(tài)(也叫做次態(tài)),不僅與輸入狀態(tài)有關(guān),而且還與觸發(fā)器原來的狀態(tài)(也叫初態(tài))有關(guān),所以把也作為一個(gè)輸入變量列入了真值表,并將稱作狀態(tài)變量,把這種含有狀態(tài)變量的真值表叫做觸發(fā)器的功能真值表(或稱為特性表)。表中的、上加非號(hào)是因?yàn)檩斎胄盘?hào)在低電平起作用。上一頁下一頁返回11.2基本RS觸發(fā)器11.2.2用或非門構(gòu)成的基本觸發(fā)器除了用與非門組成基本觸發(fā)器外,還可以用其它門電路來構(gòu)造,下面就以“或非門”來組成基本觸發(fā)器為例分析其原理。如圖11-2所示,是由兩個(gè)“或非門”交叉耦合組成的基本觸發(fā)器電路,兩個(gè)輸出端Q和,SD和RD為兩個(gè)輸入端。SD為置位端,RD為復(fù)位端。由于用或非門代替了與非門,所以這種觸發(fā)器有以下幾點(diǎn)不同:1)在SD、RD均為低電平時(shí),觸發(fā)器保持原狀態(tài)不變;2)在SD=1、RD=0時(shí),則使觸發(fā)器成為“1”狀態(tài);上一頁下一頁返回11.2基本RS觸發(fā)器3)在SD=0、RD=1時(shí),則使觸發(fā)器成為“0”狀態(tài);4)在SD、RD同時(shí)為高電平時(shí),Q和出現(xiàn)同時(shí)為低電平的不正常情況,在高電平同時(shí)消失以后,觸發(fā)器的新狀態(tài)不定,因此,在正常開作時(shí)輸入信號(hào)應(yīng)遵守SD·RD=0的約束條件,亦即不允許輸入SD=RD=1的信號(hào),同時(shí)SD、RD兩個(gè)輸入端均為高電平有效,其功能真值表如表11-2所示。上一頁返回11.3鐘控觸發(fā)器的邏輯功能11.3.1同步RS觸發(fā)器1.電路結(jié)構(gòu)同步RS觸發(fā)器邏輯圖如圖11-3所示,CP是時(shí)鐘輸入端,輸入周期性連續(xù)脈沖,S、R是數(shù)據(jù)輸入端(又稱控制輸入端),該電路由兩部分組成:由與非門G1、G2組成基本觸發(fā)器和由與非門G3、G4組成輸入控制電路。2.邏輯功能當(dāng)CP=0時(shí),不管控制輸入信號(hào)R和S是低電平還是高電平,門3和門4的輸出恒為1,此時(shí)門1、門2構(gòu)成基本觸發(fā)器,觸發(fā)器的狀態(tài)維持原狀態(tài);當(dāng)CP=1時(shí),R、S信號(hào)通過門G3、G4反相加到由G1、G2組成的基本RS觸發(fā)器上,使Q和的狀態(tài)跟隨輸入信號(hào)R、S的變化而改變。它的功能真值如表11-3所示。

下一頁返回11.3鐘控觸發(fā)器的邏輯功能11.3.2D觸發(fā)器由于RS觸發(fā)器存在R=S=1時(shí),次態(tài)有不定的情況,針對(duì)這一問題,將S換成D,R換成,這樣就得到只有一個(gè)輸入信號(hào)控制端D,稱作D型觸發(fā)器,電路結(jié)構(gòu)如圖11-4所示。表11-4和表11-5分別表示了D觸發(fā)器的功能真值表和激勵(lì)表,圖11-5為D觸發(fā)器的狀態(tài)圖,而D觸發(fā)器的特性方程顯然為:Qn+1=D11.3.3

JK觸發(fā)器JK觸發(fā)器的控制輸入端為J、K,圖11-6為同步JK觸發(fā)器的邏輯圖,表11-6和表11-7分別為JK觸發(fā)器功能真值表和激勵(lì)表,圖11-7為JK觸發(fā)器狀態(tài)轉(zhuǎn)換圖,JK觸發(fā)器的特性方程為:上一頁下一頁返回11.3鐘控觸發(fā)器的邏輯功能11.3.4T觸發(fā)器T觸發(fā)器可看成是JK觸發(fā)器在J=K條件下的特例,T觸發(fā)器只有一個(gè)控制輸入端T。圖11-8為同步式T觸發(fā)器邏輯圖,表11-8為T觸發(fā)器的功能真值表,表11-9為T觸發(fā)器的激勵(lì)表,圖11-9為T觸發(fā)器的狀態(tài)圖,T觸發(fā)器的特性方程為:。

T觸發(fā)器的邏輯功能可概括為:T=0時(shí),觸發(fā)器保持原狀態(tài)不變,T=1時(shí),觸發(fā)器狀態(tài)與原狀態(tài)相反,即=上一頁返回11.3鐘控觸發(fā)器的邏輯功能11.3.5各種類型觸發(fā)器之間的相互轉(zhuǎn)換觸發(fā)器按功能可分為RS、D、JK、T觸發(fā)器,分別對(duì)應(yīng)有各自的特性方程,在實(shí)際應(yīng)用中,有時(shí)可以將一種類型的觸發(fā)器轉(zhuǎn)換為另一種類型的觸發(fā)器。下面介紹幾種轉(zhuǎn)換方式。根據(jù)已有觸發(fā)器獲得待求觸發(fā)器的步驟如下:(1)寫出已有觸發(fā)器和待求觸發(fā)器的特征方程。(2)變換待求觸發(fā)器的特征方程,使之與已有觸發(fā)器的特征方程一致。(3)根據(jù)變量相同、系數(shù)相等則方程一定相等的原則,比較已有、待求觸發(fā)器的特征方程,求出轉(zhuǎn)換邏輯。(4)畫電路圖。下一頁返回11.3鐘控觸發(fā)器的邏輯功能1.JK觸發(fā)器轉(zhuǎn)換為D觸發(fā)器已知JK觸發(fā)器的特性方程:,待求的D觸發(fā)器的特性方程:=D轉(zhuǎn)換時(shí),可將D觸發(fā)器的特性方程變換與JK觸發(fā)器特性方程相似的形式:可見,若J=D,K=則可利用JK觸發(fā)器完成D觸發(fā)器的邏輯功能,轉(zhuǎn)換電路如圖11-10所示上一頁下一頁返回11.3鐘控觸發(fā)器的邏輯功能2.D觸發(fā)器轉(zhuǎn)換JK觸發(fā)器已知D觸發(fā)器的特性方程為:=D,待求的JK觸發(fā)器的特性方程為:整個(gè)觸發(fā)器的輸入應(yīng)為J、K,則,其轉(zhuǎn)換的邏輯圖如圖11-11所示.3.D觸發(fā)器轉(zhuǎn)換為T觸發(fā)器因T觸發(fā)器的特性方程為,而D觸發(fā)器的特性方程為=D,將兩個(gè)方程對(duì)比,可得到:,由D觸發(fā)器轉(zhuǎn)換為T觸發(fā)器的邏輯電路圖如圖11-12所示。上一頁下一頁返回11.4時(shí)序邏輯電路分析11.4.1時(shí)序邏輯電路的分析方法分析時(shí)序電路的目的是確定已知電路的邏輯功能和工作特點(diǎn),其具體步驟如下:1.寫相關(guān)方程式(時(shí)鐘方程、驅(qū)動(dòng)方程、輸出方程)根據(jù)給定的邏輯電路圖寫出電路中各個(gè)觸發(fā)器的時(shí)鐘方程、驅(qū)動(dòng)方程和輸出方程。①時(shí)鐘方程:時(shí)序電路中各個(gè)觸發(fā)器CP脈沖的邏輯表達(dá)式。②驅(qū)動(dòng)方程:時(shí)序電路中各個(gè)觸發(fā)器輸入信號(hào)的邏輯表達(dá)式。③輸出方程:時(shí)序電路的輸出Z=f(X,Q),若無輸出時(shí)此方程可省略。上一頁下一頁返回11.4時(shí)序邏輯電路分析2.求各個(gè)觸發(fā)器的狀態(tài)方程將時(shí)鐘方程和驅(qū)動(dòng)方程代入相應(yīng)觸發(fā)器的特征方程式中,求出觸發(fā)器的狀態(tài)方程。3.求出對(duì)應(yīng)狀態(tài)值(1)列狀態(tài)表將電路輸入信號(hào)和觸發(fā)器現(xiàn)態(tài)的所有取值組合代入相應(yīng)的狀態(tài)方程,求得相應(yīng)觸發(fā)器的次態(tài)和輸出,以表格形式列出。(2)畫狀態(tài)圖狀態(tài)圖為反映時(shí)序電路狀態(tài)轉(zhuǎn)換規(guī)律及相應(yīng)輸入、輸出信號(hào)取值情況的幾何圖形。上一頁下一頁返回11.4時(shí)序邏輯電路分析(3)畫時(shí)序圖時(shí)序圖為反映輸入、輸出信號(hào)及各觸發(fā)器狀態(tài)的取值在時(shí)間上對(duì)應(yīng)關(guān)系的波形圖。畫時(shí)序圖時(shí),應(yīng)在CP觸發(fā)沿到來時(shí)更新狀態(tài)。(4)歸納上述分析結(jié)果,確定時(shí)序電路的功能。根據(jù)狀態(tài)表、狀態(tài)圖和時(shí)序圖進(jìn)行分析歸納,確定電路的邏輯功能和工作特點(diǎn),上述對(duì)時(shí)序電路的分析步驟不是一成不變的,可根據(jù)電路的繁簡情況和分析者的熟悉程度進(jìn)行取舍。分析過程如圖11-13所示。上一頁返回11.5寄存器11.5.1數(shù)碼寄存器的電路組成如圖11-14所示的是采用4個(gè)D觸發(fā)器構(gòu)成的四位數(shù)碼寄存器,其中CP作為接收并行輸入數(shù)碼D0~D3的控制信號(hào),Q0~Q3是數(shù)碼寄存器的并行輸出端。2.數(shù)碼寄存器的工作原理(1)輸入數(shù)據(jù)。無論寄存器中原來的內(nèi)容是什么,只要送數(shù)控制時(shí)鐘脈沖CP上升沿到來,加在并行數(shù)據(jù)輸入端的數(shù)據(jù)D0~D3就立即被送入寄存器中。即Q3n+1Q2n+1Q1n+1Q0n+1

=

D3D2D1D0(2)保持。在CP上升沿以外的時(shí)間,寄存器內(nèi)容將保持不變。(3)輸出數(shù)據(jù)。當(dāng)CP1

=

1,各“與”門開啟,輸出數(shù)碼寄存器保持的數(shù)據(jù)到Q3Q2Q1Q0。下一頁返回11.5寄存器11.5.2移位寄存器移位寄存器也是一種常用的寄存器,它能夠?qū)崿F(xiàn)輸入數(shù)據(jù)的逐位向左或向右移動(dòng),通常分為單向移位寄存器(左或右移)和雙向移位寄存器(左和右移)兩種。1.單向移位寄存器的電路組成圖11-15所示的是由4個(gè)邊沿D觸發(fā)器組成的4位左移移位寄存器。2.單向移位寄存器的工作原理從電路中可以看:D0

=Q1n,D1

=

Q2n,D2

=Q3n

,D3

=

Di,Q0n+1=Q1n,Q1n+1

=Q2n,Q2n+1=Q3n,Q3n+1=Di。假設(shè)移位寄存器的初始狀態(tài)為0000,現(xiàn)從輸入端Di依次輸入信號(hào)“1101”,這樣可以得到真值表,如表11-10所示。上一頁下一頁返回11.5寄存器11.5.3集成移位寄存器1.八位單向移位寄存器74164①74164引腳排列、邏輯功能示意如圖11-16所示。其中Di

=

DSA·DSB為數(shù)碼的串行輸入信號(hào)端,為清零端,Q0~Q7為數(shù)碼輸出端,為并行方式。②74164的工作原理:74164的工作原理可以用表11-11所示的功能表來描述。上一頁返回11.5寄存器2.4位雙向移位寄存器74LS194①74LS194引腳排列、邏輯功能示意如圖11-17所示。其中,DSR是右移串行數(shù)據(jù)輸入端,DSL是左移串行數(shù)據(jù)輸入端;為清零端;M0M1為工作狀態(tài)控制端,M1M0

=01,實(shí)現(xiàn)右移功能,M1M0

=

10,實(shí)現(xiàn)左移功能;Q0~Q3為數(shù)碼輸出端,為并行方式。②74LS194的工作原理:74LS194的工作原理可以用表11-12所示的功能表來描述。11.6計(jì)數(shù)器11.6.1二進(jìn)制計(jì)數(shù)器1.二進(jìn)制異步加法計(jì)數(shù)器(1)電路組成:如圖11-18所示為三位二進(jìn)制異步加法計(jì)數(shù)器。它由3個(gè)JK觸發(fā)器組成,低位的輸出Q接到高位的控制端C,只有最低位FF0的C端接收計(jì)數(shù)脈沖CP。每個(gè)觸發(fā)器的J、K端都接高電平,即J

=

K

=

1,處于計(jì)數(shù)狀態(tài)。只要控制端C的信號(hào)由“1”變到“0”,觸發(fā)器的狀態(tài)就翻轉(zhuǎn)。C

=

Q0Q1Q2是進(jìn)位信號(hào)。11.6計(jì)數(shù)器(2)工作原理。計(jì)數(shù)器工作前應(yīng)清零,即Q2Q1Q0

=

000。第一個(gè)CP脈沖輸入后,當(dāng)該脈沖的下降沿到來時(shí),F(xiàn)F0翻轉(zhuǎn),Q0由“0”變?yōu)椤?”,這樣Q0

=

1就加到FF1的C端,使FF1保持不變,計(jì)數(shù)器的狀態(tài)為001。第二個(gè)CP脈沖輸入后,F(xiàn)F0又翻轉(zhuǎn),Q0由1變?yōu)?。這樣Q0

=

0就加到FF1的C端,使FF1翻轉(zhuǎn),Q1由“0”變?yōu)椤?”。Q

=

1就加到FF2的C端,使FF2保持不變,計(jì)數(shù)器的狀態(tài)為“010”。按此規(guī)律,隨著計(jì)數(shù)脈沖CP的不斷輸入,計(jì)數(shù)器的狀態(tài)如圖11-19所示,當(dāng)?shù)?個(gè)CP脈沖輸入后,計(jì)數(shù)器的狀態(tài)為“111”,產(chǎn)生進(jìn)位信號(hào)C

=

1,再輸入一個(gè)CP脈沖,計(jì)數(shù)器的狀態(tài)恢復(fù)為“000”。11.6計(jì)數(shù)器2.二進(jìn)制同步加法計(jì)數(shù)器為提高計(jì)數(shù)速度,將計(jì)數(shù)脈沖送到每一個(gè)觸發(fā)器的C端,使各觸發(fā)器的狀態(tài)變化與計(jì)數(shù)脈沖同步,這種方式組成的計(jì)數(shù)器稱為同步計(jì)數(shù)器。(1)電路組成。由JK觸發(fā)器構(gòu)成的三位同步加法計(jì)數(shù)器如圖11-20所示。其中C

=

Q2Q1Q0是進(jìn)位信號(hào)。(2)工作原理。計(jì)數(shù)器工作前應(yīng)清零,則有Q2Q1Q0

=

000。第一個(gè)CP脈沖輸入后,當(dāng)該脈沖的下降沿到來時(shí),F(xiàn)F0翻轉(zhuǎn),Q0由“0”變?yōu)椤?”,J1,J2均為“0”。這樣FF1、FF2保持不變,計(jì)數(shù)器的狀態(tài)為“001”。同時(shí),J1

=

K1

=

Q0

=

1,J2

=

K2

=

Q1Q0

=

0。第二個(gè)CP脈沖輸入后,F(xiàn)F0又11.6計(jì)數(shù)器翻轉(zhuǎn),Q0由“1”變?yōu)椤?”,F(xiàn)F1翻轉(zhuǎn),Q1由“0”變?yōu)?,F(xiàn)F2保持不變,計(jì)數(shù)器的狀態(tài)為“010”。同時(shí),J1

=

K1

=

Q0

=

0,J2

=

K2

=

Q1Q0

=

0。第三個(gè)CP脈沖到來后,F(xiàn)F0由“0”變?yōu)椤?”,F(xiàn)F1、FF2保持不變,計(jì)數(shù)器的狀態(tài)為“011”。同時(shí)J1

=

K2

=

Q0

=

1,J2

=

K2

=

Q1Q0

=

1。第四個(gè)CP脈沖到來后,F(xiàn)F0、FF1、FF2均翻轉(zhuǎn),計(jì)數(shù)器的狀態(tài)為“100”。按此規(guī)律,隨著計(jì)數(shù)脈沖CP的不斷輸入,計(jì)數(shù)器的狀態(tài)同圖11-21所示的狀態(tài)。11.6計(jì)數(shù)器11.6.2十進(jìn)制計(jì)數(shù)器二進(jìn)制計(jì)數(shù)器雖然簡單,運(yùn)算方便,但人們習(xí)慣的是十進(jìn)制計(jì)數(shù)器。因此,需要將二進(jìn)制計(jì)數(shù)器轉(zhuǎn)換成具有十進(jìn)制計(jì)數(shù)功能的計(jì)數(shù)器。用4個(gè)JK觸發(fā)器可組成十進(jìn)制加法計(jì)數(shù)器。計(jì)數(shù)器的狀態(tài)轉(zhuǎn)換和普通二進(jìn)制計(jì)數(shù)器相同,表11-13為十進(jìn)制加法計(jì)數(shù)器的狀態(tài)轉(zhuǎn)換表。CP是計(jì)數(shù)脈沖輸入,計(jì)數(shù)數(shù)碼由Q3Q2Q1Q0并行輸出,C是進(jìn)位輸出端。計(jì)數(shù)器每個(gè)次態(tài)的4位二進(jìn)制數(shù)代表一個(gè)十進(jìn)制數(shù)。例如,次態(tài)為“0101”,代表十進(jìn)制數(shù)5,表示計(jì)數(shù)器已輸入了5個(gè)計(jì)數(shù)脈沖;第六個(gè)計(jì)數(shù)脈沖輸入后,狀態(tài)轉(zhuǎn)變?yōu)椤?110”,代表十進(jìn)制數(shù)6;若計(jì)數(shù)器次態(tài)為“1001”時(shí),代表十進(jìn)制數(shù)9;第十個(gè)脈沖輸入后,狀態(tài)轉(zhuǎn)變?yōu)椤?000”,同時(shí)產(chǎn)生一個(gè)進(jìn)位輸出信號(hào)C

=

1,相當(dāng)于十進(jìn)制數(shù)逢十進(jìn)。11.6計(jì)數(shù)器11.6.3集成計(jì)數(shù)器1.集成4位二進(jìn)制同步加法計(jì)數(shù)器74LS1612.集成四位二進(jìn)制異步加法計(jì)數(shù)器74LS2903.任意進(jìn)制計(jì)數(shù)器實(shí)訓(xùn)11計(jì)數(shù)器及其應(yīng)用

1.實(shí)訓(xùn)目的(1)學(xué)習(xí)用集成觸發(fā)器構(gòu)成計(jì)數(shù)器的方法;(2)掌握中規(guī)模集成計(jì)數(shù)器的使用及功能測試方法;(3)運(yùn)用集成計(jì)數(shù)計(jì)構(gòu)成1/N分頻器。2.實(shí)訓(xùn)設(shè)備與器件3.實(shí)訓(xùn)內(nèi)容4.實(shí)訓(xùn)預(yù)習(xí)要求5.實(shí)訓(xùn)報(bào)告圖11-1由“與非門”組成基本觸發(fā)器返回表11-1“與非門”組成基本觸發(fā)器功能真值表返回1100111101010111100010100001*不定0011*不定圖11-2由“或非門”組成基本觸發(fā)器返回表11-2由“或非門”構(gòu)成基本觸發(fā)器功能真值表返回0000010111000111001101001111010*不定0*不定圖11-3同步式RS觸發(fā)器返回表11-3RS觸發(fā)器功能真表返回SR說明00000101=維持原態(tài)00110100=0置“0”態(tài)11000111=1置“1”態(tài)111101不定狀態(tài)不定表11-4

RS觸發(fā)器激勵(lì)表返回SR0×1001×0圖11-5RS觸發(fā)器狀態(tài)圖返回表11-5D觸發(fā)器功能真值表返回D說明000100=0110111=1表11-6D觸發(fā)器激勵(lì)表返回D0101圖11-6D觸發(fā)器狀態(tài)圖返回圖11-7同步式D觸發(fā)器邏輯圖返回表11-7JK觸發(fā)器功能真值表返回JK說明00000101=維持01010100=0置“0”10100111=1置“1”11110110=與原狀態(tài)相反表11-8JK觸發(fā)器激勵(lì)表返回JK0X1XX1X0圖11-8同步式JK觸發(fā)器邏輯圖返回圖11-9JK觸發(fā)器狀態(tài)圖返回表11-9T觸發(fā)器功能真值表返回T說明000=011101=110表11-10T觸發(fā)器激勵(lì)表返回T×11×圖11-10T觸發(fā)器邏輯圖返回圖11-11T觸發(fā)器狀態(tài)圖返回圖11-

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論