




版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
數(shù)字電路設(shè)計(jì)與仿真---陳俊銳ContentsNCverilog仿真器,Verilog-XL仿真器及NClaunch簡(jiǎn)介1SimVision簡(jiǎn)介2設(shè)計(jì)過(guò)程簡(jiǎn)介3實(shí)例解說(shuō)及演示4NCverilog仿真器,Verilog-XL仿真器及NClaunch簡(jiǎn)介NCverilog簡(jiǎn)介NCVerilog仿真器都是基于事件算法的仿真器。仿真器讀入VerilogHDL描述并進(jìn)行仿真以反映實(shí)際硬件的行為。NCVerilog是全編譯仿真器,它直接將Verilog代碼編譯為機(jī)器碼執(zhí)行。其過(guò)程為:ncvlog編譯Verilog源文件,按照編譯指導(dǎo)(compiledirective)檢查語(yǔ)義及語(yǔ)法,產(chǎn)生中間數(shù)據(jù)。ncelab按照設(shè)計(jì)指示構(gòu)造設(shè)計(jì)的數(shù)據(jù)結(jié)構(gòu),產(chǎn)生可執(zhí)行代碼。除非對(duì)優(yōu)化進(jìn)行限制,否則源代碼中的元件(element)可能被優(yōu)化丟失。產(chǎn)生中間數(shù)據(jù)。ncsim啟動(dòng)仿真核。核調(diào)入設(shè)計(jì)的數(shù)據(jù)結(jié)構(gòu),構(gòu)造事件序列(時(shí)輪),調(diào)度并執(zhí)行事件的機(jī)器碼。有些事件可能消失(從不執(zhí)行)除非限制優(yōu)化過(guò)程。編譯后的所有代碼的執(zhí)行使用同一個(gè)核。在交互模式下,可以使用Tcl命令及其針對(duì)NCVerilog的擴(kuò)展命令來(lái)修改設(shè)計(jì)和控制仿真。這將在后面進(jìn)行詳細(xì)描述Verilog-XL簡(jiǎn)介Verilog-XL是一個(gè)交互式仿真器,過(guò)程如下:讀入Verilog描述,進(jìn)行語(yǔ)義語(yǔ)法檢查,處理編譯指導(dǎo)(compilerdirective)在內(nèi)存中將設(shè)計(jì)編譯為中間格式,將所有模塊和實(shí)例組裝成層次結(jié)構(gòu)(設(shè)計(jì)數(shù)據(jù)結(jié)構(gòu))。源代碼中的每個(gè)元件都被重新表示并能在產(chǎn)生的數(shù)據(jù)結(jié)構(gòu)找到。決定仿真的時(shí)間精度,在內(nèi)存中構(gòu)造一個(gè)事件隊(duì)列的時(shí)間數(shù)據(jù)結(jié)構(gòu)(時(shí)輪)。讀入、調(diào)度并根據(jù)事件執(zhí)行每一個(gè)語(yǔ)句。Verilog-XL采用多種加速算法提高各種抽象級(jí)的仿真速度。每次重新啟動(dòng)Verilog-XL,將重復(fù)上述步驟。當(dāng)進(jìn)入交互模式時(shí),可以輸入VerilogHDL語(yǔ)句并加到設(shè)計(jì)的數(shù)據(jù)結(jié)構(gòu)中。NClaunch簡(jiǎn)介NCLaunch,是一個(gè)圖形界面的用戶(hù)接口,能幫助你管理大型的設(shè)計(jì)工程。配置和啟動(dòng)編譯器NCverilogcomplier,描述器NCverilogelaborator以及仿真器Simulator還可以在NCLaunch上運(yùn)行像SDFcompiler,HDLAnalysisandLint,CodeCoverageAnalyzer,NCBrowse,andComparescan.之類(lèi)的工具NClaunch啟動(dòng)的界面Nclaunch–new&NClaunch運(yùn)行模式MultipleStepmode
一步步運(yùn)行compile,elaborate,andsimulate。如果我們要仿真的文件是VHDL或者混合語(yǔ)言設(shè)計(jì),我們必須選用多步模式。當(dāng)然對(duì)于verilog
設(shè)計(jì)也可以用多步模式。usesthencvlogandncelabcommands
SingleStepmode
一步完成compile,elabrate,simulate。但必須整個(gè)設(shè)計(jì)是用verilog的。
ncverilogcommandNClaunch的圖形界面I/ORegionToolsbarFilebrowserMenubarDesignbrowserNClaunch中的toolsNClaunch中的utilitiesNCLaunchToolbarButtonsNC-VHDLCompiler(VHDL編譯器)—LaunchesncvhdltocompiletheVHDLsourcefilesthatyouhaveselectedintheFileBrowserNC-VerilogCompiler(Verilog
編譯器)—LaunchesncvlogtocompiletheVerilogsourcefilesthatyouhaveselectedintheFileBrowserElaborator(描述器)—LaunchesncelabtoelaboratethecompiledVHDLorVerilogdesignunitsSimulator(仿真/仿真器)—LaunchesncsimtosimulatethedesignNCBrowse(NC瀏覽)—LaunchesncbrowsetoexaminethemessagesinthelogfilethatyouhaveselectedintheFileBrowser
波形察看窗口(WaveformWindow)NCLaunch下使用ncverilog的方式一、終端命令輸入二、圖形用戶(hù)界面終端命令輸入ncvlog
編譯Verilog源文件(ncvhdl對(duì)于vhdl)?ncelab
描述設(shè)計(jì)并且生成仿真的snapshotncsim
對(duì)snapshot進(jìn)行仿真仿真或ncverilog–csource_filencverilog
source_file(runbothcomplierandsimulator)?
ncsim[options][lib.]cell[:view]Ncvlog命令ncvlog[options]source_file...Options:-DEFINE<arg>
--Definesamacr-FILE<arg>
--Loadcommandlineargumentsfrom<arg>rmation
-MESSAGES
--Specifiesprintingofinformativemessages-NOCOPYRIGHT
--Suppressesprintingofcopyrightbanner-NOWARN<arg>
--DisablesprintingofthespecifiedwarningmessageNcvlog命令ncvlogfilename1.vfilename2.v…注意:有時(shí)候這些文件存在依存關(guān)系,如在filename2中要用到在filename1中定義的變量,這時(shí)候就要注意其編譯的順序是從后到前,就先編譯file1然后才是file2.
注意層次結(jié)構(gòu)Ncelab命令ncelab用描述器(elaborator)把設(shè)計(jì)描述成snapshot的形式,Snapshot是仿真器將調(diào)用的你的設(shè)計(jì)的表述,它和其它由compiler和elaborator產(chǎn)生的中間目標(biāo)一起保存在庫(kù)中ncelab-access+rwc
worklib.topmodulename:module這里我們必須輸入-access命令來(lái)啟動(dòng)elaborator+rwc表示讀,寫(xiě),連接探測(cè)
Ncelab命令ncelab[options][lib.]cell[:view]
Options:
-MESSAGES
--Specifiesprintingofinformativemessages
-NOCOPYRIGHT
--Suppressesprintingofcopyrightbanner
-NOSTDOUT
--Turnoffoutputtoscreen
-TIMESCALE<arg>
--SetdefaulttimescaleonVerilogmodules.
-ACCESS<arg>
--Setdefaultaccessvisibility.{+rwc}turnonread/write/connectivity.
-FILE<arg>
--Loadcommandlineargumentsfrom<arg>
-LOADPLI1<arg>
--Specifythelibrary_name:boot_routine(s)todynamicallyloadaPLI1.0application
Ncsim命令ncsim[options][lib.]cell[:view]Options:
-FILE<arg>
--Loadcommandlineargumentsfrom<arg>
-GUI
--Enterwindowmodebeforerunningsimulation
-INPUT<arg>
--Scripttobeexecutedduringinitialization
-MESSAGES
--Specifiesprintingofinformativemessages
-NOCOPYRIGHT
--Suppressesprintingofcopyrightbanner
-NONTCGLITCH
--Suppressdelayednetglitchsuppressionmessages
-NOSTDOUT
--Turnoffoutputtoscreen
-NOWARN<arg>
--Disablesprintingofthespecifiedwarningmessage
-SDF_NO_WARNINGS
--DonotreportSDFwarningsNClaunch的圖形界面NCLaunchToolbarButtonsNC-VHDLCompiler(VHDL編譯器)—LaunchesncvhdltocompiletheVHDLsourcefilesthatyouhaveselectedintheFileBrowserNC-VerilogCompiler(Verilog
編譯器)—LaunchesncvlogtocompiletheVerilogsourcefilesthatyouhaveselectedintheFileBrowserElaborator(描述器)—LaunchesncelabtoelaboratethecompiledVHDLorVerilogdesignunitsSimulator(仿真/仿真器)—LaunchesncsimtosimulatethedesignNCBrowse(NC瀏覽)—LaunchesncbrowsetoexaminethemessagesinthelogfilethatyouhaveselectedintheFileBrowser
波形察看窗口(WaveformWindow)Simvision簡(jiǎn)介Simvision簡(jiǎn)介SimVision是一個(gè)candence
仿真器統(tǒng)一的圖形化的調(diào)試環(huán)境。SimVision可以用于調(diào)試用verilog,vhdl,SystemC或者它們混合寫(xiě)成的數(shù)字,仿真,或數(shù)?;旌想娐返脑O(shè)計(jì)。你可以在以下幾種模式運(yùn)行SimVision:·Simulate模式·Post-processingenvironment(PPE)模式Simulate模式
在Simulate模式下你可以實(shí)時(shí)的看到仿真的數(shù)據(jù)。也就是說(shuō),你可以在仿真仿真的過(guò)程中就進(jìn)行數(shù)據(jù)的分析。你可以通過(guò)對(duì)設(shè)計(jì)設(shè)置斷點(diǎn)和分步來(lái)達(dá)到控制仿真的。為了幫助你追蹤整個(gè)仿真過(guò)程,SimVision提供了幾個(gè)工具:·控制臺(tái)窗口ConsoleWindow·源瀏覽器SourceBrowser·設(shè)計(jì)瀏覽器DesignBrowser·循環(huán)閱讀器CycleViewer·原理圖追蹤SchematicTracer·信號(hào)流瀏覽器SignalFlowBrowser·波形窗口WaveformWindow·寄存器窗口RegisterWindow這些窗口之間是連接了的,所以如果你在一個(gè)窗口中選中了一個(gè)對(duì)象,那么在其它窗口中也會(huì)被選中?!ost-processingenvironment(PPE)模式
在PPE模式下,對(duì)仿真結(jié)果數(shù)據(jù)的分析是在仿真過(guò)程結(jié)束了后進(jìn)行的。除了仿真器之外,你能訪(fǎng)問(wèn)所有的SimVision的工具。就像Simulator模式中一樣,這里所有的窗口也是連接了的,你在一個(gè)窗口中選擇了的目標(biāo)也會(huì)在其它窗口中選中。要在PPE模式下運(yùn)行,你必須先對(duì)設(shè)計(jì)進(jìn)行仿真,然后把仿真的資料存到一個(gè)文件中,你可以隨時(shí)從simulation模式切換到PPE模式,但是不能在PPE模式切換到simulation模式中去。Simvision使用的方式一、終端命令輸入二、圖形用戶(hù)界面終端命令輸入
圖形用戶(hù)界面Tools主要ToolsButton介紹控制臺(tái)Consolewindow:Consolewindow允許你輸入tcl仿真的命令和SimVision的命令。DesignBrowser:DesignBrowser讓你進(jìn)入設(shè)計(jì)的各層,以及信號(hào)和變量的數(shù)據(jù)庫(kù)。Registerwindow:Registerwindow讓你可以使用一個(gè)開(kāi)放式的圖形編輯器來(lái)定義一些記錄簿,每個(gè)都包含了一個(gè)自定義的仿真數(shù)據(jù)的觀點(diǎn)。SignalFlowBrowser:SignalFlowBrowser讓你追蹤信號(hào)的變化。SourceBrowser:SourceBrowser讓你可以看到設(shè)計(jì)的源代碼。Waveformwindow:Waveformwindow把仿真的資料在X-Y坐標(biāo)系里面描繪出來(lái)。數(shù)據(jù)通常被顯示為值和時(shí)間的關(guān)系,但是它也可以是已經(jīng)記錄下了的數(shù)據(jù)。原理描述器顯示了用Verilog或者Vhdl編寫(xiě)的設(shè)計(jì)的原理圖,可以讓你描述在設(shè)計(jì)中的信號(hào)。
設(shè)計(jì)過(guò)程簡(jiǎn)介設(shè)計(jì)過(guò)程簡(jiǎn)介描述設(shè)計(jì)將在設(shè)計(jì)的實(shí)例化,結(jié)構(gòu)化信息的基礎(chǔ)上建立設(shè)計(jì)的層次結(jié)構(gòu),建立信號(hào)的連接,計(jì)算所有對(duì)象的初始值調(diào)用編譯器對(duì)verilog源程序進(jìn)行編譯,編譯過(guò)程將把源文件中的用HDL編寫(xiě)的單元編譯成內(nèi)在的描述對(duì)描述器生成的snapshot進(jìn)行仿真編譯描述仿真設(shè)計(jì)路徑結(jié)構(gòu)NcvlogNcelabNcsim實(shí)例解說(shuō)及演示實(shí)例解說(shuō)仿真一個(gè)51單片機(jī)的定時(shí)器,包括2個(gè)源文件:51_timer.vTimer_test.v通過(guò)啟動(dòng)仿真器,來(lái)仿真其工作的四種模式。本例的所有源文件包含在/home/chen/51_timer下。啟動(dòng)終端,用cd命令切換到此目錄,并運(yùn)用nclaunch–new&命令來(lái)啟動(dòng)nclaunch-new選項(xiàng)指名你想要在一個(gè)新的設(shè)計(jì)(design)啟動(dòng)后如圖:工程存放路徑我們建立了一個(gè)文件夾之后,在這個(gè)文件夾下啟動(dòng)NCLaunch就會(huì)默認(rèn)存放在這個(gè)文件夾里面LibMappingFile的存放路徑Worklib默認(rèn)的工作庫(kù)若用VHDL或者混合語(yǔ)言設(shè)計(jì),就選擇默認(rèn)library或IEEE的Library;Verilog的就不需要任何library設(shè)計(jì)源文件包含在庫(kù)中在你仿真仿真你的設(shè)計(jì)以前,你必須用編譯器編譯源文件,并且用描述器(elaborator)把設(shè)計(jì)描述成snapshot的形式。Snapshot是仿真器將調(diào)用的你的設(shè)計(jì)的表述,它和其它由compiler和elaborator產(chǎn)生的中間目標(biāo)一起保存在庫(kù)中。NCLaunch的主窗口讓你可以連接你編譯和描述設(shè)計(jì)所需要的工具,當(dāng)然還有其它的程序。你利用Tools和Utilities菜單,或者你也可以利用工具欄里面的工具按鈕,你可以打開(kāi)工具和其它程序。對(duì)編譯器的設(shè)置選上可以在大的設(shè)計(jì)中節(jié)省時(shí)間,在重新編譯的時(shí)候只對(duì)有修改過(guò)的units進(jìn)行編譯如果要預(yù)編library和cell,就選上,如`celldefine和`endcelldefine預(yù)編譯指令如果用systemverilog,就選上檢測(cè)源代碼與IEEE1364的兼容性。若我們要用另一些仿真器或者綜合器,就選上編譯(compiling)源文件我們必須先對(duì)兩個(gè)源文件進(jìn)行編譯,在編譯過(guò)程中,ncverilog會(huì)自動(dòng)查找設(shè)計(jì)中的錯(cuò)誤,并在I/ORegion中顯示出紅字,提示錯(cuò)誤的原因。先人為的往設(shè)計(jì)源文件中加入錯(cuò)誤,讓編譯器去查找。通過(guò)在終端鍵入geidt51_timer.v打開(kāi)源文件,并加入錯(cuò)誤如下圖:提示出錯(cuò)的原因編譯命令按鈕通過(guò)編譯的源文件加入到worklib中描述(elaborate)你的設(shè)計(jì)要描述(elabrate)你的設(shè)計(jì),典型的你要展開(kāi)你的庫(kù)(worklib),選擇頂層(top-level)的單元,然后選擇描述(elabrate)按鈕。對(duì)描述器進(jìn)行設(shè)置若只有一個(gè)模塊包含了時(shí)間表的編譯指示。因?yàn)槠渌K都沒(méi)有定義時(shí)間表,為了防止elaborator報(bào)錯(cuò),這里啟動(dòng)OtherOption選項(xiàng)然后再文本框輸入-timescale1ns/1ns
-timescale1ns/1nsAccessVisibility按鈕選中并且它的值是All,這個(gè)選項(xiàng)意味著全部存取(讀,寫(xiě),連接探測(cè))來(lái)仿真目標(biāo),這樣你就可以在仿真的數(shù)據(jù)庫(kù)里面探測(cè)目標(biāo)和范圍,調(diào)試你的設(shè)計(jì)。選擇描述(elabrate)按鈕仿真的snapshot
啟動(dòng)Simulator1.展開(kāi)Snapshots文件夾,以顯示在你的庫(kù)中你可以選擇的snapshot。
2.選中你想要仿真的snapshot
3.按下仿真按鈕就會(huì)自動(dòng)跳出仿真器,會(huì)出來(lái)兩個(gè)瀏覽器設(shè)計(jì)瀏覽器(DesignBrowser)和控制窗口(Consolewindow)
控制窗口(Consolewindow)
可以運(yùn)行tcl命令和simvison命令,自由選擇可以運(yùn)行tcl命令和simvison命令,自由選擇設(shè)計(jì)瀏覽器(DesignBrowser)設(shè)計(jì)仿真Simulator命令在仿真過(guò)程中對(duì)設(shè)計(jì)進(jìn)行探測(cè),然后它把探測(cè)目標(biāo)的值存入數(shù)據(jù)庫(kù)。有兩種類(lèi)型探測(cè)的命令:·探測(cè)一個(gè)特殊的目標(biāo)或多個(gè)目標(biāo)。這些特殊目標(biāo)的值存在數(shù)據(jù)庫(kù)中?!ぬ綔y(cè)一個(gè)特殊的范圍或多個(gè)范圍。你可以選擇你想存儲(chǔ)的信息類(lèi)型,比如說(shuō),這個(gè)范圍內(nèi)的輸入信號(hào),你能決定探測(cè)的是探測(cè)一些還是全部的范圍。輸入,輸出,內(nèi)部寄存器展開(kāi)可以看到設(shè)計(jì)的層次結(jié)構(gòu)選擇菜單Simulation--〉CreateProbeSimVision打開(kāi)Probe的設(shè)置窗口,這個(gè)窗口允許你選擇一層或者多層子范圍,選擇你要探測(cè)的信號(hào)類(lèi)型,然后記錄探測(cè)信息到任何數(shù)據(jù)庫(kù)。選擇Includesub-scopes下拉菜單中的all選項(xiàng)以包含所有設(shè)計(jì)的子域
選擇Includewithineachscope下拉菜單中的all選項(xiàng)以包含輸入,輸出和端口
點(diǎn)擊run提示當(dāng)你完成了這些步驟,你的工作目錄下面將會(huì)出現(xiàn)一個(gè)新的名為waves.shm
的目錄,它里面包含了waves.dsn和waves.trn兩個(gè)文件。如果它們的大小分別遠(yuǎn)小于65500bytes和360bytes,那么在仿真過(guò)程中你就沒(méi)有探測(cè)到了所有的目標(biāo)。為了糾正問(wèn)題,在控制窗口(consolewindow)中選擇Simulation—〉ReinvokeSimulator重新啟動(dòng)simulator或者退出simulator再用下面的命令重新啟動(dòng)simulator:ncsim-gui
worklib.timer_test:module然后重新執(zhí)行剛才講的幾個(gè)步驟。SHM:波形數(shù)據(jù)庫(kù)SHM:波形數(shù)據(jù)庫(kù)仿真歷史管理器(SimulationHistoryManager,SHM)數(shù)據(jù)庫(kù)記錄用戶(hù)的設(shè)計(jì)在仿真時(shí)數(shù)據(jù)信號(hào)的變化。只記錄用戶(hù)要觀察(probe)的信號(hào)。
用戶(hù)必須在仿真前(時(shí)間0前)設(shè)置探針信號(hào)才能看到信號(hào)在仿真過(guò)程中全部變化。如果沒(méi)有對(duì)其進(jìn)行設(shè)置,仿真器會(huì)默認(rèn)產(chǎn)生一個(gè)波形數(shù)據(jù)庫(kù)waves.shm.里面探測(cè)的信號(hào)是加到波形窗口上的信號(hào)。在波形窗口(waveformwindow)中,在波形數(shù)據(jù)的上方,你可以看到當(dāng)前窗口中仿真的數(shù)據(jù)的啟始和終止時(shí)間。在波形數(shù)據(jù)的下方的滾動(dòng)條顯示的是整個(gè)仿真的時(shí)間。你可以通過(guò)輸入一個(gè)新的時(shí)間范圍來(lái)調(diào)整當(dāng)前窗口中顯示的波形數(shù)據(jù)的范圍。在TimeRange文本框中輸入一個(gè)時(shí)間段.在這個(gè)例子中,輸入的是0:2000
在TimeRange文本框中輸入一個(gè)時(shí)間段.在這個(gè)例子中,輸入的是0:3000
菜單中選擇Keepthisview,這樣你就可以保存你的縮放設(shè)置,下次可以直接觀察在波形數(shù)據(jù)上方的下拉菜單中選擇Keepthisview,這樣你就可以保存你的縮放設(shè)置。任意時(shí)刻,你能通過(guò)下拉菜單選擇來(lái)快速地回到顯示這個(gè)縮放段可拖動(dòng)指標(biāo)到想要探測(cè)的時(shí)間點(diǎn)
輸入一個(gè)新的仿真時(shí)間:
·可拖動(dòng)指標(biāo)到想要探測(cè)的時(shí)間點(diǎn),也可以在指標(biāo)時(shí)間輸入文本框中輸入一個(gè)仿真時(shí)間來(lái)實(shí)現(xiàn)目的??刂撇ㄐ螖?shù)據(jù)的顯示方式(進(jìn)制or顏色)控制波形數(shù)據(jù)的顯示當(dāng)觀察一個(gè)波形的時(shí)候,有時(shí)候把信號(hào)的值顯示成ASCII碼串很有用。本設(shè)計(jì)中為了觀察2個(gè)定時(shí)器的工作模式,用ASCII碼更直觀高效。要把信號(hào)定義信號(hào)值為ASCII串,需要定義一個(gè)mnemonicmap。1.選擇Windows—〉Tools—〉MnemonicMaps打開(kāi)MnemonicMaps的屬性設(shè)置窗口設(shè)置得到顯示結(jié)果設(shè)計(jì)的調(diào)試波形顯示窗口(waveformwindow)可以幫助你找到設(shè)計(jì)中的錯(cuò)誤。通過(guò)定義環(huán)境,情況來(lái)觀察波形數(shù)據(jù),從而找到錯(cuò)誤發(fā)生的地方。當(dāng)你找到了錯(cuò)誤的地點(diǎn),你就可以對(duì)設(shè)計(jì)的源文件進(jìn)行編輯,然后再運(yùn)行simulator來(lái)檢驗(yàn)?zāi)愀淖兒蟮某绦颉K褜げㄐ沃械沫h(huán)境,情況環(huán)境,情況是一個(gè)你想要觀察的在波形窗口(waveformwindow)中的信號(hào)的值的組合。比如說(shuō),你可以定義一個(gè)環(huán)境發(fā)生的條件是TF0信號(hào)和TF1信號(hào)的值是一樣的時(shí)候:1.在波形窗口(waveformwindow)中選擇TF0和TF1信號(hào),然后選擇Edit–〉Create—〉Condition.2.ExpressionCalculator創(chuàng)建一個(gè)默認(rèn)的由AND(與)邏輯組成的等式3.命名新加的調(diào)試信號(hào),并按 把信號(hào)加到波形中去TF1ANDTF0先選中加入的信號(hào),然后再search選value=1,即可查到創(chuàng)建自定義的仿真數(shù)據(jù)查看另一個(gè)分析仿真結(jié)果的方法是運(yùn)用Register窗口,在那里可創(chuàng)建自定義的仿真數(shù)據(jù)的查看,包括自由形式的文本輸入以及圖形化的要素。一個(gè)Register窗口可以有幾頁(yè),每頁(yè)都有自己的顯示方式。
在Register窗口中創(chuàng)建頁(yè):1.在波形窗口(waveformwindow)中選擇你想要分析的信號(hào)2.點(diǎn)擊ClicktheRegister按鈕,以便把信號(hào)送入到Register窗口中去使用schematictracer對(duì)設(shè)計(jì)進(jìn)行觀察選擇Windows—〉Tools—〉schematictracer打開(kāi)schematictracer窗口或點(diǎn)擊修改源代碼中的錯(cuò)誤你可以利用SimVision按照以下的步驟來(lái)查找有源文件有錯(cuò)誤的地方:在波形窗口(waveformwindow),選擇M1M0_0變
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 幼兒園保健知識(shí)培訓(xùn)課件
- 金昌電梯裝修施工方案
- 干部法律知識(shí)培訓(xùn)課件
- 水塔工程施工方案
- 兒童租賃門(mén)店合同范例
- 個(gè)人勞務(wù)派遣工合同范例
- 個(gè)人田地出租合同范例
- 人工代加工合同范例
- 品牌引導(dǎo)消費(fèi)者行為的技巧計(jì)劃
- 秘書(shū)工作任務(wù)安排計(jì)劃表
- 醫(yī)療器械醫(yī)療器械研發(fā)合同
- 2025年岳陽(yáng)職業(yè)技術(shù)學(xué)院?jiǎn)握新殬I(yè)技能測(cè)試題庫(kù)及參考答案
- (二模)2024-2025學(xué)年佛山市順德區(qū)高三教學(xué)質(zhì)量檢測(cè) (二)歷史試卷(含答案)
- 2024初級(jí)會(huì)計(jì)職稱(chēng)考試題庫(kù)(附參考答案)
- 國(guó)家安全教育大學(xué)生讀本高教社2024年8月版教材講義-第一章完全準(zhǔn)確領(lǐng)會(huì)總體國(guó)家安全觀
- 2025年四川省對(duì)口招生(旅游類(lèi))《前廳服務(wù)與管理》考試復(fù)習(xí)題庫(kù)(含答案)
- 2024年01月河北2024年唐山銀行社會(huì)招考筆試歷年參考題庫(kù)附帶答案詳解
- 【高++中語(yǔ)文++】《記念劉和珍君》課件+統(tǒng)編版高中語(yǔ)文選擇性必修中冊(cè)
- 2025年湖南信息職業(yè)技術(shù)學(xué)院高職單招職業(yè)技能測(cè)試近5年??及鎱⒖碱}庫(kù)含答案解析
- 2025年江西環(huán)境工程職業(yè)學(xué)院高職單招職業(yè)技能測(cè)試近5年??及鎱⒖碱}庫(kù)含答案解析
- 2024年世界職業(yè)院校技能大賽高職組“研學(xué)旅行組”賽項(xiàng)參考試題庫(kù)(含答案)
評(píng)論
0/150
提交評(píng)論