交通燈課程設計報告2600字_第1頁
交通燈課程設計報告2600字_第2頁
交通燈課程設計報告2600字_第3頁
交通燈課程設計報告2600字_第4頁
交通燈課程設計報告2600字_第5頁
已閱讀5頁,還剩15頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

交通燈課程設計報告2600字

交通燈課程設計報告(1)設計思路目錄1引言2設計任務與要求3總體方案設計(2)設計原理及參考框圖(3)交通燈控制時序圖4系統(tǒng)硬件設計部分(1)時間秒脈沖發(fā)生器(2)60s、30s、5s計數(shù)器(及定時電路)(3)主控電路(4)紅黃綠交通燈控制電路5交通燈控制電路設計的總體電路圖6體會7主要參考元器件8參考文獻交通燈課程設計報告1引言當今,紅綠燈安裝在各個道口上,已經(jīng)成為疏導交通車輛最常見和最有效的手段。但這一技術(shù)在19世紀就已出現(xiàn)了。1858年,在英國倫敦主要街頭安裝了以燃煤氣為光源的紅,藍兩色的機械扳手式信號燈,用以指揮馬車通行。這是世界上最早的交通信號燈。1868年,英國機械工程師納伊特在倫敦威斯敏斯特區(qū)的議會大廈前的廣場上,安裝了世界上最早的煤氣紅綠燈。它由紅綠兩以旋轉(zhuǎn)式方形玻璃提燈組成,紅色表示“停止”,綠色表示“注意”。1869年1月2日,煤氣燈爆炸,使警察受傷,遂被取消。電氣啟動的紅綠燈出現(xiàn)在美國,這種紅綠燈由紅綠黃三色圓形的投光器組成,19xx年始安裝于紐約市5號大街的一座高塔上。紅燈亮表示“停止”,綠燈亮表示“通行”。19xx年,又出現(xiàn)了帶控制的紅綠燈和紅外線紅綠燈。帶控制的紅綠燈,一種是把壓力探測器安在地下,車輛一接近紅燈便變?yōu)榫G燈;另一種是用擴音器來啟動紅綠燈,司機遇紅燈時按一下嗽叭,就使紅燈變?yōu)榫G燈。紅外線紅綠燈當行人踏上對壓力敏感的路面時,它就能察覺到有人要過馬路。紅外光束能把信號燈的紅燈延長一段時間,推遲汽車放行,以免發(fā)生交通事故。信號燈的出現(xiàn),使交通得以有效管制,對于疏導交通流量、提高道路通行能力,減少交通事故有明顯效果。19xx年,聯(lián)合國《道路交通和道路標志信號協(xié)定》對各種信號燈的含義作了規(guī)定。綠燈是通行信號,面對綠燈的車輛可以直行,左轉(zhuǎn)彎和右轉(zhuǎn)彎,除非另一種標志禁止某一種轉(zhuǎn)向。左右轉(zhuǎn)彎車輛都必須讓合法地正在路口內(nèi)行駛的車輛和過人行橫道的行人優(yōu)先通行。紅燈是禁行信號,面對紅燈的車輛必須在交叉路口的停車線后停車。黃燈是警告信號,面對黃燈的車輛不能越過停車線,但車輛已十分接近停車線而不能安全停車時可以進入交叉路口。2設計任務與要求:(1)用紅、綠、黃三色發(fā)光二極管作信號燈,用傳感器或邏輯開關(guān)代替?zhèn)鞲衅髯鳈z測車輛是否到來的信號,設計制作一個交通燈控制器。(2)由于主干道車輛較多,而支干道車輛較少,所以主干道處于常允許通行的狀態(tài),支干道有車才通行。(30)當主干道均有車時,要求主干道與支干道交替通行。主干道通行時,主干道綠燈亮,支干道紅燈亮,時間為60s。支干道通行時,支干道綠燈亮,主干道紅燈亮,時間為30s。(4)每次綠燈變紅燈時,要求黃燈先亮5s。此時另一路口紅燈也不變。(5)要求在綠燈亮(通行時間內(nèi)),和紅燈亮(禁止通行時間內(nèi))均有倒計時顯示。3總體方案設計:(1)設計思路系統(tǒng)中要求有60s、30s和5s三種定時信號,需要設計三種相應的倒計時顯示電路。定時的起始信號由主控電路給出,定時時間結(jié)束的信號也輸出到主控電路,并通過主控電路去啟動或關(guān)閉交通燈。主控電路是本設計的核心,它的輸入信號來自60s、30s和5s三個定時信號。主控電路的輸出一方面經(jīng)譯碼后分別控制主干道和支干道的三個信號燈,另一方面控制定時電路的啟動。主控電路屬于時序邏輯電路,應該按照時序邏輯電路的設計方法進行設計。也可采用存儲電路去控制交通燈,即將傳感信號和定時信號經(jīng)過編碼所得的代碼作為存儲器的地址信號,由存儲器數(shù)據(jù)去控制交通燈。(2)設計原理及參考框圖交通燈控制電路原理框圖如下:根據(jù)要求,該控制電路應該具有計時功能,即60s、30s和5s的計時及倒計時功能。通過對秒脈沖的計數(shù)器,實現(xiàn)紅、黃、綠三種顏色燈的交替顯示,因此需要計數(shù)器及各種邏輯門及觸發(fā)器。由于要有時間提示的數(shù)字顯示,即根據(jù)紅綠燈的時間進行倒計時顯示,這就需要數(shù)碼管、譯碼器等顯示、控制器件。綜上所述,設計電路應括主控電路、定時電路、譯碼顯示電路以及秒脈沖信號發(fā)生器。(3)交通燈控制時序圖:設主干道的綠、黃、紅交通燈分別用字母AG、AY、AR表示,支干道的綠、黃、紅交通燈分別用字母BG、BY、BR表示,S表示支干道上傳感器傳來有車的信號,TL表示60s時間,TS表示30s時間,TY表示5s的時間,ST表示信號的確認。交通燈控制時序圖4系統(tǒng)硬件設計部分:(1)時間秒脈沖發(fā)生器(仿真圖)交通燈中的時鐘是由振蕩器產(chǎn)生的,振蕩器為數(shù)字鐘提供了1s的基準信號,典型的振蕩器是555定時器實現(xiàn)的多諧振蕩器如圖所示。計算時間公式:T=0.7(R1+2R2)*C(2)60s、30s、5s計數(shù)器(及定時電路)(仿真圖)定時電路是主要由兩片74LS160芯片組成的。脈沖信號由CLK端輸入,TY端輸出到達5s的信號,TS端輸出到達30s的信號,TL端輸出到達60s的信號。(3)主控電路(仿真圖)主控電路主要是由兩片74LS153芯片、兩片74LS74芯片組成的,由CLK端給74LS74芯片輸入脈沖信號,通過TY、TS、TL、S等端口給主控芯片輸入時間、傳感信號。(4)紅黃綠交通燈控制電路(仿真圖)該電路是由74LS139芯片通過數(shù)據(jù)選擇來控制紅、黃、綠交通燈的亮滅。5交通燈控制電路設計的總體電路圖:6體會:本次課程設計是在系統(tǒng)學習《數(shù)字邏輯電路》和《電子技術(shù)基礎(chǔ)》之后通過查閱相關(guān)資料完成的,這次課程設計給我?guī)砹撕艽蟮氖斋@,讓我學到了很多,不僅掌握了簡單的電子電路的設計與制作,也掌握了論文寫作和格式。在制作電路時,我深深體會到連接電路時一定要認真仔細,每一步驟都要認真分析。設計過程中遇到很多難題,在老師以及同學的幫著下我順利地完成了本次設計,通過這次設計我深刻感到自己的知識十分有限,在以后的課程學習中一定要認真學習理論知識,充實自己。7主要參考元器件計數(shù)器74LS160,觸發(fā)器74LS74,555定時器,74LS153,74LS11,74LS21,74LS139,74LS04,74LS32,74LS08,譯碼器74LS47,數(shù)碼管LC5011,門電路,發(fā)光二極管,電阻,電容,自鎖開關(guān)、銅板8參考文獻《數(shù)字邏輯電路》、《電子技術(shù)基礎(chǔ)》、《電子技術(shù)基礎(chǔ)實驗、綜合設計實驗與課程設計》

第二篇:交通燈VHDL課程設計報告3700字《電子設計自動化(EDA)技術(shù)》課程實訓報告題目:交通信號控制器VHDL設計姓名:院系:專業(yè):電子信息工程(儀器儀表)學號:************指導教師:徐正坤完成時間:2010年6月29日12目錄1課程設計題目、內(nèi)容與要求…………………4頁1.1設計題目…………………4頁1.2設計內(nèi)容…………………4頁1.3具體要求…………………4頁2系統(tǒng)設計………5頁2.1設計思路…………………5頁2.2系統(tǒng)原理…………………5頁3系統(tǒng)實現(xiàn)………5頁3.1VHDL源程序的具體程序和說明………5頁3.2交通信號控制器程序中使用到得信號及其對應的管腳…7頁4系統(tǒng)仿真………7頁5硬件驗證(操作)說明………7頁6總結(jié)…………8頁7參考書目……………………8頁3交通燈控制器周曉重慶三峽學院應用技術(shù)學院電子信息工程(儀器儀表)2008級重慶萬州404000摘要基于VHDL的交通燈控制器設計,芯片采用ALTERA公司的ACEX1K系列的EP1K10TC100-3,使用硬件描述語言VHDL進行描述,對交通燈進行模塊化,在VHDL編程環(huán)境QuartusII下編譯通過。此報告對該設計的思想原理,詳細程序和引腳配置以及波形仿真進行了詳細的闡述。關(guān)鍵詞:VHDL模塊化交通燈設計1課程設計題目、內(nèi)容與要求1.1設計題目交通信號控制器VHDL設計1.2設計內(nèi)容交通信號控制器的VHDL源程序;交通信號控制器的仿真波形;交通信號控制器的硬件測試結(jié)果。1.3具體要求設計一個交通信號控制器。交通信號控制器原理框圖如下圖所示。模擬場景圖:42系統(tǒng)設計2.1設計思路選擇課程設計題目→查資料寫出交通信號控制器的VHDL源程序代碼→對程序進行全程編譯→時序仿真→引腳設置和下載→硬件測試2.2系統(tǒng)原理用VHDL編寫程序?qū)崿F(xiàn)交通信號控制器以下功能:(1)交通燈從綠變紅時,有5秒黃燈亮的間隔時間;(2)交通燈紅變綠是直接進行的,沒有間隔時間;(3)主干道上的綠燈時間為50秒,支干道的綠燈時間為30秒用VHDL編寫程序?qū)崿F(xiàn)交通信號控制器的端口控制信號。其中,clk為標準的1HZ的時鐘信號;R為復位信號;SPC為緊急情況信號,負責緊急情況的處理,當緊急情況發(fā)生時,南北主干道和東西支干道均顯示紅燈。該程序定義了4個狀態(tài)S0,S1,S2,S3。當狀態(tài)為S0時,南北方向亮綠燈,東西方向亮紅燈,50s;當為狀態(tài)為S1時,南北方向亮黃燈,東西方向亮紅,5s;當狀態(tài)為S2時,南北方向亮紅燈,東西方向綠燈,30s;當狀態(tài)為S3時,南北方向亮紅燈,東西方向黃燈,5s;程序還設計了一個緊急信號情況,當遭遇緊急情況時,主干道和支干道都亮紅燈。3系統(tǒng)實現(xiàn)3.1交通燈控制器VHDL源程序的具體程序和說明LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;5USEIEEE.STD_LOGIC_ARITH;ENTITYTRAFFIC2ISPORT(CLK,R,SPC:INSTD_LOGIC;--時鐘、復位、特殊情況LIGHT:OUTSTD_LOGIC_VECTOR(5DOWNTO0);--light:G1,R1,Y1,G2,R2,Y2;Q1,Q2:OUTINTEGERRANGE0TO55);ENDTRAFFIC2;ARCHITECTUREbehavOFTRAFFIC2ISTYPESTATESIS(S0,S1,S2,S3);--定義枚舉SIGNALSTATE:STATES;SIGNALT1,T2:INTEGERRANGE0TO55;BEGINP1:PROCESS(CLK,STATE)BEGINIFR='1'THENSTATE<=S0;T1<=50;T2<=55;--復位ELSIFSPC='1'THENLIGHT<="010010";--特殊情況亮紅燈ELSIFCLK'EVENTANDCLK='1'THENCASESTATEIS--states為s0時,南北方向亮綠燈,東西方向亮紅燈,50sWHENS0=>LIGHT<="100010";T1<=T1-1;T2<=T2-1;Q1<=T1;Q2<=T2;IFT1=0THENSTATE<=S1;T1<=5;T2<=5;ENDIF;--states為s1時,南北方向亮黃燈,東西方向亮紅,5sWHENS1=>LIGHT<="001010";T1<=T1-1;T2<=T2-1;Q1<=T1;Q2<=T2;IFT1=0THENSTATE<=S2;T1<=35;T2<=30;ENDIF;--states為s2時,南北方向亮紅燈,東西方向綠燈,30sWHENS2=>LIGHT<="010100";T1<=T1-1;T2<=T2-1;Q1<=T1;Q2<=T2;IFT2=0THENSTATE<=S3;T1<=5;T2<=5;ENDIF;--states為s3時,南北方向亮紅燈,東西方向黃燈,5sWHENS3=>LIGHT<="010001";T1<=T1-1;T2<=T2-1;6Q1<=T1;Q2<=T2;IFT1=0THENSTATE<=S0;T1<=50;T2<=55;ENDIF;WHENOTHERS=>STATE<=S0;T1<=50;T2<=55;--主干道ENDCASE;ENDIF;ENDPROCESS;ENDbehav;3.2交通燈控制器程序中使用到得信號及其對應的管腳4系統(tǒng)仿真75硬件驗證(操作)說明根據(jù)之前寫定的引腳來進行連線,其中clk連接1Hz的脈沖,R連可控制電平的高低電平信號,實現(xiàn)復位功能。SPC接高低電平信號,實現(xiàn)緊急情況功能。G1接南北道的綠燈,R1接南北道的紅燈,Y1街南北道的黃燈。G2接東西道的綠燈,R2接東西道的紅燈,Y2街東西道的黃燈。經(jīng)過對源程序的不斷檢查和對EP1K10TC100-3主芯片的各個引腳是否能夠提供正常工作進行檢測,最終將結(jié)果調(diào)試成功。6總結(jié)通過這次實訓,我們在程序的功能,源程序修改以及最后的硬件調(diào)試波形仿真中取得了更深一步的成就,通過理論結(jié)合實際進行不斷地修改、討論。填補了我們在這一方面的不足,當最后結(jié)果出來的時候,我們心比蜜甜,通過這次實訓,我們在實踐中學會了很多在平時的實驗中無法學到得東西。將使我們在以后的工作中受益匪

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論