《單片機(jī)原理及接口技術(shù)》課程設(shè)計(jì)籃球記分器的設(shè)計(jì)報(bào)告_第1頁(yè)
《單片機(jī)原理及接口技術(shù)》課程設(shè)計(jì)籃球記分器的設(shè)計(jì)報(bào)告_第2頁(yè)
《單片機(jī)原理及接口技術(shù)》課程設(shè)計(jì)籃球記分器的設(shè)計(jì)報(bào)告_第3頁(yè)
《單片機(jī)原理及接口技術(shù)》課程設(shè)計(jì)籃球記分器的設(shè)計(jì)報(bào)告_第4頁(yè)
《單片機(jī)原理及接口技術(shù)》課程設(shè)計(jì)籃球記分器的設(shè)計(jì)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩20頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、單片機(jī)原理及接口技術(shù)課程設(shè)計(jì)報(bào)告課題名稱籃球記分器的設(shè)計(jì)學(xué)院自動(dòng)控制與機(jī)械工程學(xué)院專業(yè)電氣工程及其自動(dòng)化班級(jí)姓名 (學(xué)號(hào))時(shí)間2011年12月27日星期二目 錄一. 內(nèi)容提要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1. 設(shè)計(jì)任務(wù). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 2. 設(shè)計(jì)要求. . . .

2、. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2二. 設(shè)計(jì)的基本步驟及方案 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31at89c51簡(jiǎn)介 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32數(shù)碼管顯示原理. . . . . . . . . . . . . .

3、. . . . . . . . . . . . . . . . . . . . . . . . . .33總體方案. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5三. 硬件電路設(shè)計(jì)及描述. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 1. 硬件原理電路圖. . . . . . . . . . . . . . . . . . . . . . . . . .

4、 . . . . . . . . . . . . . . 6 2. 硬件電路設(shè)計(jì)及描述. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7四. 軟件設(shè)計(jì)思想及流程圖. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 .主流程圖. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5、.82.記分流程圖. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93.時(shí)間中斷流程圖. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .104.源程序代碼見附錄一. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13五硬軟件的調(diào)試過(guò)程及調(diào)試方法. . . . .

6、 . . . . . . . . . . . . . . . . . . . . . . . .13六課程設(shè)計(jì)心得體會(huì). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15七參考文獻(xiàn) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15附錄一 源程序代碼. . . . . . . . . . . . . . . . . . . . . . . . . .

7、 . . . . . . . . . . . . . . . .16一.內(nèi)容提要本設(shè)計(jì)是采用單片機(jī)at89c52作為本設(shè)計(jì)的核心元件。利用7段共陰led作為顯示器件。在此設(shè)計(jì)中共接入了8個(gè)7段共陰led顯示器,其中4個(gè)用于記錄ab隊(duì)的分?jǐn)?shù),每隊(duì)2個(gè)led顯示器顯示范圍可達(dá)到099分,足夠滿足賽程需要,另外4個(gè)led顯示器則用來(lái)記錄賽程時(shí)間,其中2個(gè)用于顯示分鐘,2個(gè)用于顯示秒鐘。賽程計(jì)時(shí)采用倒計(jì)時(shí)方式。即比賽前將時(shí)間設(shè)置好,比賽開始時(shí)啟動(dòng)計(jì)時(shí),直至計(jì)時(shí)到零為止。計(jì)時(shí)范圍可達(dá)到099分鐘,也完全滿足實(shí)際賽程的需要。當(dāng)比賽隊(duì)a對(duì)得1分時(shí),按下a+1鍵;得2分時(shí),按下a+2鍵;得3分時(shí),按下a+3鍵

8、;當(dāng)加分出現(xiàn)錯(cuò)誤時(shí),可以按a-1鍵減1分,可以達(dá)到調(diào)整分?jǐn)?shù)的作用;依照同樣的方法可以記錄b對(duì)的得分。采用單片機(jī)控制使這個(gè)系統(tǒng)按鍵操作使用簡(jiǎn)潔,led顯示,安裝方便。解決了籃球比賽計(jì)分器的安裝問(wèn)題,節(jié)約了線材,適合在各種規(guī)模的體育場(chǎng)館使用,完全可以代替?zhèn)鹘y(tǒng)的用鐘表進(jìn)行計(jì)時(shí)的方法,當(dāng)然稍加改動(dòng)也可以用于其他球類比賽,是體育器材向智能化發(fā)展的一個(gè)實(shí)例。1設(shè)計(jì)任務(wù)基于mcs-51單片機(jī)at89c51,設(shè)計(jì)一款顯示籃球比分的記分牌。2設(shè)計(jì)要求1)設(shè)計(jì)一款顯示籃球比分的記分牌; 2)通過(guò)加分按鈕可以給a隊(duì),或b隊(duì)加分; 3)設(shè)計(jì)對(duì)調(diào)功能,更換場(chǎng)地后,ab隊(duì)分?jǐn)?shù)互換; 4)計(jì)時(shí):能實(shí)現(xiàn)099分和059秒任意

9、調(diào)整,具有啟動(dòng)、暫停、復(fù)位功能; 5)秒計(jì)時(shí):能實(shí)現(xiàn)099秒任意調(diào)整,具有啟動(dòng)、暫停、復(fù)位功能; 6)自定義按鍵個(gè)數(shù)和功能; 7)增加局?jǐn)?shù)比分功能; 8)增加比賽時(shí)間倒計(jì)時(shí)功能; 9)報(bào)警:099秒違例自動(dòng)聲、光報(bào)警:099分終場(chǎng)自動(dòng)聲響; 10)可實(shí)現(xiàn)a、b兩隊(duì)暫停次數(shù)和犯規(guī)的顯示和任意加減; 11)其他功能。二設(shè)計(jì)的基本步驟及方案1at89c51簡(jiǎn)介單片機(jī)是在一塊芯片中集成了cpu、ram、rom、定時(shí)/計(jì)數(shù)器和多功能i/o口等計(jì)算機(jī)所需要的基本部件的大規(guī)模集成電路。其以體積小、功能全、性價(jià)比高等諸多優(yōu)點(diǎn)而獨(dú)具特色,在工業(yè)控制、尖端武器、通信設(shè)備、家用電器等嵌入式應(yīng)用領(lǐng)域中獨(dú)占鰲頭。如果說(shuō)

10、c語(yǔ)言程序設(shè)計(jì)課程設(shè)計(jì)軟件設(shè)計(jì)的基礎(chǔ)課,那么單片機(jī)以其系統(tǒng)硬件構(gòu)架完整、價(jià)格低廉、學(xué)生能動(dòng)手等特點(diǎn),成為工科學(xué)生硬件設(shè)計(jì)基礎(chǔ)課。2數(shù)碼管顯示原理數(shù)碼管實(shí)際上是由7個(gè)發(fā)光管組成8字形構(gòu)成的,加上小數(shù)點(diǎn)就是8個(gè)。我們分別把他命名為a,b,c,d,e,f,g,h。 圖1 數(shù)碼管內(nèi)部結(jié)構(gòu)圖假設(shè)我們要顯示一個(gè)數(shù)字2, 那么 a、b、g、e、d這5個(gè)段的發(fā)光管亮就可以了,c、f、h不亮,同時(shí)由于接法為共陰接法,那么為高電平是亮,低電平是滅。從高往低排列, p1.7-p1.0寫成二進(jìn)制為01011011, 把他轉(zhuǎn)化為16進(jìn)制則為5bh。我們可以根據(jù)硬件的接線把數(shù)碼管顯示數(shù)字編制成一個(gè)表格,見下表,以后直接調(diào)

11、用就行了。數(shù)碼管的接口有靜態(tài)接口和動(dòng)態(tài)接口兩種。靜態(tài)接口為固定顯示方式,無(wú)閃爍,其電路可采用一個(gè)并行口接一個(gè)數(shù)碼管,數(shù)碼管的公共端按共陰接gnd,本次課程設(shè)計(jì)由于所需數(shù)碼管不多,故可用些種方法接線。這種接法占用接口多,僅能接少量數(shù)碼管。動(dòng)態(tài)接口采用各數(shù)碼管循環(huán)輪流顯示的方法,當(dāng)循環(huán)顯示的頻率較高時(shí),利用人眼的暫留特性,看不出閃爍顯示現(xiàn)象,這種顯示需要一個(gè)接口完成字形碼的輸出,另一接口完成各數(shù)碼管的輪流點(diǎn)亮。多位一體的數(shù)碼管原理和單個(gè)的差不多。表2 共陰極數(shù)碼管顯示顯示 p1.7 小數(shù)點(diǎn) p1.6 g p1.5小fp1.4 ep1.3 dp1.2 cp1.1 bp1.0 a he代碼 0 001

12、11 11 13fh1 0 0 0 0 0 11 0 06h 2 0 1 0 1 1 01 1 5bh 3 0 1 0 01 1 11 4fh 4 0 11 00 1 1 0 66h5 01 1 0 1 1 0 16dh 6 01 1 1 1 1 0 1 7dh 7 00 00 0 1 11 07h 8 0 1 1 11 1 1 1 7fh 9 0 1 10 1 1 1 1 6fh 3總體方案:基于單片機(jī)系統(tǒng)的籃球賽計(jì)時(shí)計(jì)分器的系統(tǒng)構(gòu)成圖如下圖所示: 圖3 系統(tǒng)結(jié)構(gòu)圖本系統(tǒng)采用單片機(jī)at89c51作為本設(shè)計(jì)的核心元件。利用7段共陰led作為顯示器件。在此設(shè)計(jì)中共接入了8個(gè)7段共陰led顯示器,

13、其中4個(gè)用于記錄ab隊(duì)的分?jǐn)?shù),每隊(duì)2個(gè)led顯示器顯示范圍可達(dá)到099分,足夠滿足賽程需要,另外4個(gè)led顯示器則用來(lái)記錄賽程時(shí)間,其中2個(gè)用于顯示分鐘,2個(gè)用于顯示秒鐘。賽程計(jì)時(shí)采用到計(jì)時(shí)方式。即比賽前將時(shí)間設(shè)置好,比賽開始時(shí)啟動(dòng)計(jì)時(shí),直至計(jì)時(shí)到零為止。計(jì)時(shí)范圍可達(dá)到099分鐘,也完全滿足實(shí)際賽程的需要。其次,為了配合計(jì)時(shí)器和計(jì)分器校正調(diào)整時(shí)間和比分,我們特定在本設(shè)計(jì)中設(shè)立了11個(gè)按鍵,其中8個(gè)用于設(shè)置,交換場(chǎng)地,啟動(dòng)和暫停等功能。三. 硬件電路設(shè)計(jì)及描述1.硬件原理電路系統(tǒng)硬件圖如下圖4所示:記分圖如下圖5所示:計(jì)時(shí)圖如下圖6所示:2.硬件電路設(shè)計(jì)及描述硬件部分的設(shè)計(jì)是整個(gè)設(shè)計(jì)中的一個(gè)重要

14、部分。在進(jìn)行硬件部分設(shè)計(jì)時(shí),首先要確定元器件,并且知道這些器件的工作原理和功能。然后才可以進(jìn)行設(shè)計(jì)。本設(shè)計(jì)的硬件電路包括兩部分:計(jì)時(shí)電路、計(jì)分電路。硬件電部分的設(shè)計(jì)除了硬件電路的設(shè)計(jì),還包括pcb板的繪制。 圖7 at89c51引腳圖四. 軟件思想及流程1 主流程圖8:開始定時(shí)清零兩隊(duì)分?jǐn)?shù)設(shè)置定時(shí)開始按鍵是否按下定時(shí)時(shí)間是否到倒計(jì)時(shí)開始計(jì)時(shí)暫停鍵是否按下計(jì)分鍵是否按下對(duì)應(yīng)甲乙隊(duì)加減的分?jǐn)?shù)交換鍵是否按下甲乙兩隊(duì)交換分?jǐn)?shù)顯示ynyyyynnnn2. 記分流程圖9:比分顯示程序:dispf:mov r0,#34h mov r3,#7fh mov a,r3playf:mov p2,a mov a,r0

15、 mov dptr,#dseg1 movc a,a+dptr mov p0,a lcall dl1 mov p2,#0ffh mov a,r3 rr a jnb acc.3,ld1 inc r0 mov r3,a ljmp playf ajmp ld1鍵盤掃描子程序調(diào)用判斷有無(wú)按下子程序有鍵按下嗎?調(diào)用確定鍵值子程序執(zhí)行所按鍵的相應(yīng)功能子程序返回ny3. 時(shí)間中斷流程圖10時(shí)間中斷程序:time: push acc push psw mov th0,#0d8h mov tl0,#0f0h djnz r2,ret0 mov r2,#64h mov a,20h clr c dec a mov 20

16、h,a mov a,21h cjne a,#00h,pff ;非0分跳轉(zhuǎn); mov a,20h cjne a,#00h,pff ;非0分0秒情況跳轉(zhuǎn); clr tr0 ;比賽結(jié)束停止計(jì)時(shí); mov 20h,#00h mov 21h,#00h mov 32h,#00h mov 33h,#00h lcall mingyin mov 21h,26h mov 30h,#00h mov 31h,#00h mov 32h,24h mov 33h,25h ljmp ret0pff: mov a,20h cjne a,#0ffh,out mov 20h,#59 ; mov 30h,#09h mov 31h,#

17、05h mov a,21h dec a mov 21h,aout: mov a,20h mov b,#0ah div ab mov 30h,b mov 31h,a mov a,21h mov b,#0ah div ab mov 32h,b mov 33h,aret0: pop psw pop acc retiyanshi:push acc ;定時(shí)器1中斷 push psw mov th1,#0d8h mov tl1,#0f0h djnz r2,ret1 mov r2,#64hdjnz 39h,ret1mov 39h,#03h ;tian heiheimov r2,#64h clr tr1clr

18、 p3.6ret1: pop psw pop acc reti時(shí)間顯示程序:dispt: mov r0,#30h mov r3,#0f7h mov a,r3playt:mov p2,a mov a,r0 mov dptr,#dseg1 movc a,a+dptr mov p0,a lcall dl1 mov p2,#0ffh mov a,r3 rr a jnb acc.7,ld1 inc r0 mov r3,a ljmp playtld1: ret開始退出循環(huán)停止記時(shí)a=0時(shí)間中斷程序流程圖:a=a-1yny4. 源程序代碼見附錄一五. 硬軟件的調(diào)試過(guò)程及調(diào)試方法1.進(jìn)入比賽,先設(shè)置時(shí)間為一節(jié)

19、或者半場(chǎng)。默認(rèn)是12分鐘(按下“a+1”進(jìn)行分鐘加1,按下“a-1”進(jìn)行分鐘減1),如圖11 圖112.按下“k1”開始比賽或者暫停,如圖123.上面的液晶顯示為計(jì)時(shí)顯示。下面的液晶顯示為分?jǐn)?shù)顯示“左側(cè)為攻左半場(chǎng)的隊(duì)的分?jǐn)?shù),右側(cè)為攻右半場(chǎng)的對(duì)的分?jǐn)?shù)?!睋Q場(chǎng)后依然如此。4按下“k2”交換場(chǎng)地,重新計(jì)時(shí)。5a+1,a+2,a+3,a-1,b+1,b+2,b+3,b-1進(jìn)行兩隊(duì)分?jǐn)?shù)調(diào)整六課程設(shè)計(jì)心得體會(huì)俗話說(shuō):好的開始是成功的一半。說(shuō)起課程設(shè)計(jì),最重要的就是做好設(shè)計(jì)的預(yù)習(xí),認(rèn)真的研究老師給的題目,選一個(gè)自己感興趣的題目。其次,老師對(duì)課程設(shè)計(jì)的講解要一絲不茍的去聽去想,因?yàn)橹挥卸济靼琢?,做起設(shè)計(jì)就會(huì)事

20、半功倍。最后,要重視程序的模塊化,修改的方便,也要注重程序的調(diào)試,掌握其方法。本次實(shí)訓(xùn)我們?nèi)齻€(gè)人為一組,并進(jìn)行分工合作,我們查閱了相關(guān)書籍,做好各項(xiàng)準(zhǔn)備后才開始進(jìn)行設(shè)計(jì),設(shè)計(jì)過(guò)程中遇到很多問(wèn)題,我們都是相互幫助,一起解答。本次設(shè)計(jì)測(cè)試結(jié)果以及不足之處:計(jì)時(shí)電路可完成倒計(jì)時(shí)、暫停、繼續(xù)等功能,在比賽時(shí)間到后可進(jìn)行報(bào)警。記分電路工作正常,可完成對(duì)比分的刷新與暫存。但在測(cè)試過(guò)程中發(fā)現(xiàn),在比賽上半場(chǎng)時(shí)間到后,不能進(jìn)行比分的交換。進(jìn)行分析后得出結(jié)論如下:系統(tǒng)電路部分設(shè)計(jì)沒有原則性的錯(cuò)誤,在制版的過(guò)程中注意了走線,因此硬件部分功能完好。但因?yàn)檐浖到y(tǒng)編寫方面的疏忽,在比分交換及計(jì)時(shí)部分沒有能很好的實(shí)現(xiàn)。除

21、此之外,軟件達(dá)到了系統(tǒng)的要求。通過(guò)這兩個(gè)星期的課程設(shè)計(jì),發(fā)現(xiàn)了我們的很多不足,我們知識(shí)的很多漏洞,看到了我們的實(shí)踐經(jīng)驗(yàn)還是比較缺乏,理論聯(lián)系實(shí)際的能力還急需提高。在這個(gè)過(guò)程中,我們也曾經(jīng)因?yàn)閷?shí)踐經(jīng)驗(yàn)的缺乏失落過(guò),也曾經(jīng)仿真成功而熱情高漲。通過(guò)單片機(jī)課程設(shè)計(jì),我們加深了對(duì)單片機(jī)理論的理解,學(xué)回了怎樣將理論很好地應(yīng)用到實(shí)際當(dāng)中去,而且我們還學(xué)會(huì)了如何去培養(yǎng)我們的不畏困難的挑戰(zhàn)精神,從而不斷地戰(zhàn)勝自己,超越自己,我們?cè)谶@一設(shè)計(jì)過(guò)程中,學(xué)會(huì)了堅(jiān)持不懈,不輕易言棄。設(shè)計(jì)過(guò)程,也好比是我們?nèi)祟惓砷L(zhǎng)的歷程,常有一些不如意,也許這就是在對(duì)我們提出了挑戰(zhàn),勇敢過(guò),也戰(zhàn)勝了,勝利的鐘聲也就一定會(huì)為我們而敲響。此次

22、課程設(shè)計(jì)充分鍛煉了我們的設(shè)計(jì)能力和團(tuán)隊(duì)合作能力,給我們注入了一些全新的認(rèn)識(shí),為我們以后的設(shè)計(jì)和實(shí)驗(yàn)奠定了基礎(chǔ),也為引導(dǎo)我們以理論結(jié)合實(shí)踐起到重要意義。七參考文獻(xiàn)1.單片機(jī)原理與接口技術(shù)吳亦鋒、陳德為主編 電子工業(yè)出版社2.單片機(jī)典型系統(tǒng)設(shè)計(jì) 實(shí)例精講彭為、黃科、雷道仲編著 電子工業(yè)出版社附錄一:源程序代碼org 0000hljmp mainorg 0003hljmp changeorg 000bhljmp timeorg 0013hljmp tiaoshiorg 001bhljmp yanshimain: mov sp,#50h mov tcon,#04h mov 20h,#00h ;秒 mo

23、v 21h,#0ch ;分 mov 22h,#00h ;a隊(duì)分?jǐn)?shù); mov 23h,#00h ;b隊(duì)分?jǐn)?shù); mov 24h,#02h ; mov 25h,#01h mov 26h,#0ch ;分鐘定時(shí)區(qū); mov 30h,#00h ; mov 31h,#00h ;時(shí)間緩沖區(qū); mov 32h,#02h ; mov 33h,#01h ; mov 34h,#00h ; mov 35h,#00h ;分?jǐn)?shù)顯示緩沖區(qū); mov 36h,#00h ; mov 37h,#00h ; mov 39h,#03h ;tian heihei mov tmod,#11h mov th0,#0d8h mov tl0,#

24、0f0hmov th1,#0d8hmov tl1,#0f0h mov ie,#10001111b mov ip,#05h mov r2,#64hclr p3.6start:lcall dispt lcall dispf jnb p1.0,pp1 ;a隊(duì)加一分 jnb p1.1,pp2 ;a隊(duì)加兩分 jnb p1.2,pp3 ;a隊(duì)加三分 jnb p1.3,pp4 ;a隊(duì)減一分 jnb p1.4,pp55 ;b隊(duì)加一分 jnb p1.5,pp66 ;b隊(duì)加兩分 jnb p1.6,pp77 ;b隊(duì)加三分 jnb p1.7,pp88 ;b隊(duì)減一分 jb p3.0,start lcall delay

25、jb p3.0,startstop1:jnb p3.0,stop1 lcall delay jnb p3.0,stop1 cpl tr0 ;按鍵奇數(shù)次開始,偶數(shù)次暫停 ljmp startpp55: ljmp pp5pp66: ljmp pp6pp77: ljmp pp7pp88: ljmp pp8pp1: lcall delay jb p1.0,ppbstop11:jnb p1.0,stop11 lcall delay jnb p1.0,stop11 inc 22h mov a,22h ljmp storeapp2: lcall delay jb p1.1,ppbstop22:jnb p1.

26、1,stop22 lcall delay jnb p1.1,stop22 mov a,22h add a,#02 mov 22h,a ljmp storeapp3: lcall delay jb p1.2,ppbstop33:jnb p1.2,stop33 lcall delay jnb p1.2,stop33 mov a,22h add a,#03 mov 22h,a ljmp storeapp4: lcall delay jb p1.3,ppbstop44:jnb p1.3,stop44 lcall delay jnb p1.3,stop44 dec 22h mov a,22hstorea

27、:mov b,#0ah div ab mov 34h,b mov 35h,appb: ljmp startpp5: lcall delay jb p1.4,ppcstop55:jnb p1.4,stop55 lcall delay jnb p1.4,stop55 inc 23h mov a,23h ljmp storebpp6: lcall delay jb p1.5,ppcstop66:jnb p1.5,stop66 lcall delay jnb p1.5,stop66 mov a,23h add a,#02 mov 23h,a ljmp storebpp7: lcall delay jb

28、 p1.6,ppcstop77:jnb p1.6,stop77 lcall delay jnb p1.6,stop77 mov a,23h add a,#03 mov 23h,a ljmp storebpp8: lcall delay jb p1.7,ppcstop88:jnb p1.7,stop88 lcall delay jnb p1.7,stop88 dec 23h mov a,23hstoreb:mov b,#0ah div ab mov 36h,b mov 37h,appc: ljmp startdelay:mov r4,#5hdl00: mov r5,#0ffhdl11: djnz

29、 r5,dl11 djnz r4,dl00 ret;時(shí)間中斷程序;time: push acc push psw mov th0,#0d8h mov tl0,#0f0h djnz r2,ret0 mov r2,#64h mov a,20h clr c dec a mov 20h,a mov a,21h cjne a,#00h,pff ;非0分跳轉(zhuǎn); mov a,20h cjne a,#00h,pff ;非0分0秒情況跳轉(zhuǎn); clr tr0 ;比賽結(jié)束停止計(jì)時(shí); mov 20h,#00h mov 21h,#00h mov 32h,#00h mov 33h,#00h lcall mingyin m

30、ov 21h,26h mov 30h,#00h mov 31h,#00h mov 32h,24h mov 33h,25h ljmp ret0pff: mov a,20h cjne a,#0ffh,out mov 20h,#59 ; mov 30h,#09h mov 31h,#05h mov a,21h dec a mov 21h,aout: mov a,20h mov b,#0ah div ab mov 30h,b mov 31h,a mov a,21h mov b,#0ah div ab mov 32h,b mov 33h,aret0: pop psw pop acc retiyanshi:

31、push acc ;定時(shí)器1中斷 push psw mov th1,#0d8h mov tl1,#0f0h djnz r2,ret1 mov r2,#64hdjnz 39h,ret1mov 39h,#03h ;tian heiheimov r2,#64h clr tr1clr p3.6ret1: pop psw pop acc reti;鳴音子程序;mingyin: setb p3.6setb tr1 reti;時(shí)間顯示程序;dispt: mov r0,#30h mov r3,#0f7h mov a,r3playt:mov p2,a mov a,r0 mov dptr,#dseg1 movc

32、a,a+dptr mov p0,a lcall dl1 mov p2,#0ffh mov a,r3 rr a jnb acc.7,ld1 inc r0 mov r3,a ljmp playtld1: ret;比分顯示程序;dispf:mov r0,#34h mov r3,#7fh mov a,r3playf:mov p2,a mov a,r0 mov dptr,#dseg1 movc a,a+dptr mov p0,a lcall dl1 mov p2,#0ffh mov a,r3 rr a jnb acc.3,ld1 inc r0 mov r3,a ljmp playf ajmp ld1;調(diào)時(shí)時(shí)間顯示程序;dispw: mov r0,#24h mov r3,#0f7h mov a,r3playw:mov p2,a mov a,r0 mov dptr,#dseg1 movc a,a+dptr

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論