EDA16x16點(diǎn)陣顯示要點(diǎn)_第1頁(yè)
EDA16x16點(diǎn)陣顯示要點(diǎn)_第2頁(yè)
EDA16x16點(diǎn)陣顯示要點(diǎn)_第3頁(yè)
EDA16x16點(diǎn)陣顯示要點(diǎn)_第4頁(yè)
EDA16x16點(diǎn)陣顯示要點(diǎn)_第5頁(yè)
已閱讀5頁(yè),還剩18頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 課程設(shè)計(jì)報(bào)告 課程名稱數(shù)字系統(tǒng)與邏輯設(shè)計(jì) 課題名稱16*16點(diǎn)陣顯示 專 業(yè)通信工程 班級(jí) 學(xué)號(hào) 姓名 指導(dǎo)教師 喬匯東 胡瑛 2012年 7月2日 湖南工程學(xué)院 課程設(shè)計(jì)任務(wù)書 課程名稱數(shù)字系統(tǒng)與邏輯設(shè)計(jì) 課 題16*16點(diǎn)陣顯示 專業(yè)班級(jí)通信工程1001班 學(xué)生姓名 學(xué) 號(hào) 指導(dǎo)老師 喬匯東 胡瑛 審 批喬匯東 任務(wù)書下達(dá)日期 2012年6月23日 任務(wù)完成日期 2012年7月2日 數(shù)字系統(tǒng)與邏輯設(shè)計(jì)課程設(shè)計(jì)任務(wù)書 一 、設(shè)計(jì)目的 全面熟悉、掌握 VHDL 語(yǔ)言基本知識(shí),掌握利用 VHDL 語(yǔ)言對(duì)常用的的 組合邏輯電路和時(shí)序邏輯電路編程, 把編程和實(shí)際結(jié)合起來(lái), 熟悉編制和調(diào)試 程序的技

2、巧,掌握分析結(jié)果的若干有效方法,進(jìn)一步提高上機(jī)動(dòng)手能力,培養(yǎng) 使用設(shè)計(jì)綜合電路的能力,養(yǎng)成提供文檔資料的習(xí)慣和規(guī)范編程的思想。 二、設(shè)計(jì)要求 1、設(shè)計(jì)正確,方案合理。 2、程序精煉,結(jié)構(gòu)清晰。 3、設(shè)計(jì)報(bào)告 5000 字以上,含程序設(shè)計(jì)說(shuō)明,用戶使用說(shuō)明,源程序清單 及程序框圖。 4、上機(jī)演示。 5、有詳細(xì)的文檔。文檔中包括設(shè)計(jì)思路、設(shè)計(jì)仿真程序、仿真結(jié)果及相應(yīng) 的分析與結(jié)論。 三、進(jìn)度安排 第二 十周 星期一: 課題講解,查閱資料 星期二: 總體設(shè)計(jì),詳細(xì)設(shè)計(jì) 星期三: 編程,上機(jī)調(diào)試、修改程序 星期四: 上機(jī)調(diào)試、完善程序 星期五: 答辯 星期六 -星期天:撰寫課程設(shè)計(jì)報(bào)告 附: 課程設(shè)計(jì)

3、報(bào)告裝訂順序:封面、任務(wù)書、目錄、正文、評(píng)分、附件( A4 大小的圖紙及程序 清單)。 正文的格式 :一級(jí)標(biāo)題用 3 號(hào)黑體 ,二級(jí)標(biāo)題用四號(hào)宋體加粗 ,正文用小四號(hào)宋體 ;行距為 22。 正文的內(nèi)容 :一、課題的主要功能;二、課題的功能模塊的劃分(要求畫出模塊圖);三、主 要功能的實(shí)現(xiàn);四、系統(tǒng)調(diào)試與仿真;五、總結(jié)與體會(huì);六、附件(所有程序的原代碼,要 求對(duì)程序?qū)懗霰匾淖⑨專?;七、評(píng)分表。 目錄 一 16*16 點(diǎn)陣顯示的總體設(shè)計(jì) 1 1.1 16*16 點(diǎn)陣顯示 1 1.2 基本設(shè)計(jì)思想 1 二 . 16*16 點(diǎn)陣顯示的功能實(shí)現(xiàn) 3 2.1 系統(tǒng)的總體框圖 3 2.2 系統(tǒng)的流程圖

4、3 2.3 系統(tǒng)各功能模塊實(shí)現(xiàn) 4 三系統(tǒng)的調(diào)試與仿真 8 四總體與體會(huì) 9 五 附件 10 六 評(píng)分表 16 16*16點(diǎn)陣顯示的總體設(shè)計(jì) 1.1 16*16 點(diǎn)陣顯示 本實(shí)驗(yàn)主要完成漢字字符在LED上的顯示,16*16掃描LED點(diǎn)陣的工作原 理與8位掃描數(shù)碼管類似,只是顯示的方式與結(jié)果不一樣而已。 下面就本實(shí)驗(yàn)系 統(tǒng)的16*16點(diǎn)陣的工件原理做一些簡(jiǎn)單的說(shuō)明。16*16點(diǎn)陣由此256個(gè)LED通 過(guò)排列組合而形成16行*16列的一個(gè)矩陣式的LED陣列,俗稱16*16點(diǎn)陣。 本實(shí)驗(yàn)的示例程序依次顯示的是“湖南工程學(xué)院”,要求每隔一秒換下一個(gè) 字顯示。 1.2 基本設(shè)計(jì)思想 單個(gè)的LED的電路如

5、下圖11-1所示: 止* Rn制Cn 圖11-1單個(gè)LED電路圖 由上圖可知,點(diǎn)陣內(nèi)的二極管間的連接都是行共陽(yáng),列共陰。本實(shí)驗(yàn)采用共 陰,當(dāng)二極管的共陽(yáng)極為高電平,共陰極為低電平時(shí),所接點(diǎn)發(fā)光;反之處于截 止?fàn)顟B(tài),不放光。本實(shí)驗(yàn)采取行掃描方式,用列給文字信息,利用周期為1s的脈 沖來(lái)控制所顯示的字。即對(duì)于單個(gè)LED的電路圖當(dāng)Rn輸入一個(gè)高電平,同時(shí) Cn輸入一個(gè)低電平時(shí),電路形成一個(gè)回路,LED發(fā)光。也就是LED點(diǎn)陣對(duì)應(yīng) 的這個(gè)點(diǎn)被點(diǎn)亮。16*16點(diǎn)陣也就是由16行和16列的LED組成,其中每一行 的所有16個(gè)LED的Rn端并聯(lián)在一起,每一列的所有16個(gè)LED的Cn端并聯(lián)在 一起。通過(guò)給Rn輸

6、入一個(gè)高電平,也就相當(dāng)于給這一列所有 LED輸入了一個(gè) 高電平,這時(shí)只要某個(gè)LED的Cn端輸入一個(gè)低電平時(shí),對(duì)應(yīng)的LED就會(huì)被點(diǎn) 亮。具體的電路如下圖11-2所示: 圖11-2 16*16點(diǎn)陣電路原理圖 在點(diǎn)陣上顯示一字符是根據(jù)其字符在點(diǎn)陣上的顯示的點(diǎn)的亮滅來(lái)表示的,如 下圖11-3所示: 11 圖11-3字符在點(diǎn)陣上的顯示 在上圖中,顯示的是一個(gè)“漢”字,只要將被“漢”字所覆蓋的區(qū)域的點(diǎn)點(diǎn) 亮,則在點(diǎn)陣中就會(huì)顯示一個(gè)“漢”字。根據(jù)前面我們所介紹的點(diǎn)陣顯示的原理, 當(dāng)我們選中第一列后,根據(jù)要顯示漢字的第一列中所需要被點(diǎn)亮的點(diǎn)對(duì)應(yīng)的 Rn 置為高電平,則在第一列中需要被點(diǎn)亮的點(diǎn)就會(huì)被點(diǎn)亮。依此類

7、推,顯示第二列、 第三列第N列中需要被點(diǎn)亮的點(diǎn)。然后根據(jù)人眼的視覺(jué)原理,將每一列顯 示的點(diǎn)的間隔時(shí)間設(shè)為一定的值,那么我們就會(huì)感覺(jué)顯示一個(gè)完整的不閃爍的漢 字。同時(shí)也可以按照這個(gè)原理來(lái)顯示其它的漢字。下圖11-4是一個(gè)漢字顯示所 n_n 0 K O k FFfE JC FF7D ) 1 a_J n_n_nL :X 4 X 5 ;?FFT XX FM 00C0 (040 04E X 7ECE X 7T3C X 41B0 需要的時(shí)序圖: 承統(tǒng)時(shí)*中 列迭探計(jì)數(shù) 列數(shù)據(jù)輸入 漢宇顯示時(shí)間 圖11-4顯示時(shí)序圖 16*16點(diǎn)陣顯示的功能實(shí)現(xiàn) 2.1系統(tǒng)的總體框圖 16*16點(diǎn)陣顯示 六進(jìn)制計(jì)數(shù)器列掃描

8、驅(qū)動(dòng)行掃描驅(qū)動(dòng) 每隔一段時(shí)間逐一顯示所要求漢字 2.2系統(tǒng)的流程圖 開始 rst =1 dout =00000000000000000 din =000 elk =1 hl =0000 是 F din=000 1 din6 1 否 f din=din+1 1 r 2.3系統(tǒng)各功能模塊實(shí)現(xiàn) 1六進(jìn)制計(jì)數(shù)器當(dāng)每一個(gè)時(shí)鐘上升沿到來(lái)時(shí),計(jì)數(shù)器就記一次數(shù)。其程序如 下: library ieee; use ieee.stdo gic_1164.all; use ieee.std_logic_ un sig ned.all; en tity jy is port(clk,rst:in std_logic;

9、 din:buffer stdo gic_vector(3 dow nto 0); end jy; architecture b of jy is beg in process(clk,rst) beg in if rst=1 then di*=0000; elsif clkeve nt and clk=1 the n if din=7 then din=0000; else din row row row row row row row row row row row row row row row row row=0000000000000000; END case; end proces

10、s A ; 其仿真波形: *j 處 b rr_n. n.yun ttj-l. n_. hlpl .n_n FLTLnTTTi n, _rL_n. _rr_n ._n i * | rxlB I 3.B為行驅(qū)動(dòng)控制器din控制的是所顯示的字。例如當(dāng) din為000時(shí),表示 顯示第一個(gè)字;當(dāng)為001時(shí),表示顯示第二個(gè)字,依次類推。hl控制所顯示的為 字的第幾行,例如當(dāng)hl為全是0時(shí),表示輸出字的第一行文字信息,依次類推。 其中包括兩種花樣的顯示方式,其描述如下: B:process(clk,rst,d in) begi n if rst=1 then dout=0000000000000000; h

11、l=0000 ;di n6 then di*=000; else din15 then hl=0000; else hl case hl is when 0000 =doutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdout case hl is when 0000 =doutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdout * 其仿真波形: I. I. 一竺竺亙3一竺竺竺竺m 1 TL J 1 r I 系統(tǒng)的調(diào)試與仿真 整個(gè)系統(tǒng)的調(diào)試與

12、仿真如下圖: 調(diào)試成功: STpew Intay 1亦 劇II: Er2CTSFB7ECa 1豈 d.-d 1第1 心Heiaizhi11 曲 Fie訂 tfP D皀HjiUrArr q和I* rrop-fii % |TlPf fiTL Vi,t*r frtxrst” 址厘00 0 StB hJE _: I jcj 哲 WJal.vM | 甘 2aaiMan Hcpnrl Unw Turn | u|佃 MpilicicH Repo Flow Summary legl材朋申 SHlrYlry NnOiFj P1W StfttUE 伽g席知1 - Ihm JU. 02 m .51. L5 2012

13、 Ac* E4p*d 1 Qurlxis II Strife xn 6.0 Build 202. H20/2006 SP i SJ Itb EdiUau Acs* Lpg ELtTl 4Tl E(mc Mil AndiFi-G use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity eda1 is port(clk,rst:in std_logic; din : buffer std_logic_vector(2 downto 0); dout:out std_logic_vector(15 downto 0);

14、 row:out std_logic_vector(15 downto 0); hl:buffer std_logic_vector(3 downto 0); end eda1; architecture a of eda1 is begin A:process(hl) begin case hl is when 0000= row row row row row row row row row row row row row row row row row=0000000000000000; END case; end process A ; B:process(clk,rst,din) b

15、egin if rst=1 then dout=0000000000000000; hl=0000 ;din6 then din=000; else din15 then hl=0000 ; else hl case hl is when 0000 =doutdoutdoutdoutdoutdoutdoutdoutdoutdout case hl is when 0000 =doutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdout case hl is when 0000 =doutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdout case hl is when 0000 =doutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdout case hl is when 0000 =doutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdo

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論