基于MAX038信號發(fā)生器的設(shè)計(jì)_第1頁
基于MAX038信號發(fā)生器的設(shè)計(jì)_第2頁
基于MAX038信號發(fā)生器的設(shè)計(jì)_第3頁
基于MAX038信號發(fā)生器的設(shè)計(jì)_第4頁
基于MAX038信號發(fā)生器的設(shè)計(jì)_第5頁
已閱讀5頁,還剩21頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) 摘要摘 要信號發(fā)生器是一種常用的信號源,廣泛地應(yīng)用于電子電路、自動控制系統(tǒng)和教學(xué)實(shí)驗(yàn)等領(lǐng)域。自從二十世紀(jì)二十年代信號發(fā)生器出現(xiàn)以來,信號發(fā)生器取得了迅速的發(fā)展。尤其七十年代微處理器的出現(xiàn),信號發(fā)生器的設(shè)計(jì)更加自動化和智能化。隨著現(xiàn)代電子、計(jì)算機(jī)和信號處理等技術(shù)的發(fā)展,信號發(fā)生器正朝著信號處理能力強(qiáng),測量精度高,變換速度快的方向前進(jìn)。本文以克西姆公司max038芯片為基礎(chǔ),輔以控制軟件和特別的外圍電路設(shè)計(jì),設(shè)計(jì)了一個低成本、多功能、高精度、輸出頻率連續(xù)可調(diào)的頻率合成式波形發(fā)生器。并在振蕩頻率控制、信號輸出幅度控制、頻率和幅度的控制方面作了比較妥善的設(shè)計(jì)。特別是單片機(jī)

2、的運(yùn)用使得該函數(shù)信號發(fā)生器具有軟硬件結(jié)合的特點(diǎn),克服了以往全硬件信號發(fā)生器的一些缺點(diǎn)。該函數(shù)信號發(fā)生器可輸出三角波、方波、正弦波。關(guān)鍵詞: max038; 信號發(fā)生器; 單片機(jī) 東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) abstractabstract signal generator is a common source, widely used in electronic circuits, automatic control systems and teaching experiments and other fields. since the twenties of the twentieth c

3、entury since the emergence of the signal generator, signal generator has achieved rapid development. in particular, the emergence of the seventies the microprocessor, the signal generators design is more automated and intelligent. with modern electronics, computers and signal processing technology,

4、the signal generator is moving in the signal processing capability, high accuracy, speed and direction change. this paper, the companys chips for max038 based, and with the control software and special buffer circuit design, design of a low cost, multi-function, high precision, output frequency adju

5、stable frequency synthesis type waveform generator. and in the oscillation frequency control, signal output amplitude, frequency and amplitude control control aspects of the design are properly. especially the use of single chip that makes the function signal generator has the characteristics of har

6、dware and software combination to overcome the past all the hardware signal generator of many shortcomings. this function signal generator can output the triangle wave, square wave, sine wave.key words: max038; function signal; single-chip microprocessor ii東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) 目錄目 錄摘 要iabstractii緒 論11信號發(fā)生

7、器的原理21.1 信號發(fā)生器的簡介與分類21.2 函數(shù)信號發(fā)生器的認(rèn)識21.3 函數(shù)信號發(fā)生器的原理21.4 函數(shù)信號發(fā)生器的工作過程31.5本章小結(jié)32函數(shù)信號發(fā)生器的方案設(shè)計(jì)42.1函數(shù)信號發(fā)生器的總體結(jié)構(gòu)42.2 硬件電路方案的選擇及設(shè)計(jì)42.3軟件設(shè)計(jì)方案52.4本章小結(jié)63整機(jī)系統(tǒng)的硬件電路設(shè)計(jì)73.1信號發(fā)生部分電路的設(shè)計(jì)73.1.1 max038芯片的介紹73.1.2芯片引腳及功能73.1.3 max038的工作原理83.1.4頻率、幅值與占空比的控制93.1.5 max038外圍硬件電路103.2濾波部分電路的設(shè)計(jì)113.2.1 濾波電路的原理113.2.2 實(shí)際濾波電路123

8、.3放大輸出部分電路的設(shè)計(jì)133.3.1 功率放大的原理133.3.2 實(shí)際放大電路143.4電源部分電路的設(shè)計(jì)163.5控制部分電路的設(shè)計(jì)163.5.1單片機(jī)at89c52的簡介173.5.2單片機(jī)的最小系統(tǒng)設(shè)計(jì)173.5.3單片機(jī)的外部電路183.6本章小結(jié)19總結(jié)20致 謝21參考文獻(xiàn)22附 錄231函數(shù)信號發(fā)生器的電路圖23東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) 緒論緒 論近年來計(jì)算機(jī)技術(shù)及微電子器件在工程技術(shù)中應(yīng)用十分廣泛,在此基礎(chǔ)上發(fā)展起來的信號發(fā)生器無論是在測量的準(zhǔn)確度、可靠性、自動化程度、運(yùn)用功能方面還是在解決控制技術(shù)問題的深度及廣度方面都有迅速的發(fā)展。 在現(xiàn)代社會中,自動化技術(shù)早已滲透

9、到社會生產(chǎn)的各個領(lǐng)域中。高精度、寬頻率、高穩(wěn)定性的信號發(fā)生器對于所屬整體系統(tǒng)性能的改善和提高起著至關(guān)重要的作用。傳統(tǒng)的函數(shù)信號波形發(fā)生器如8098等等,通常由晶體管、運(yùn)放ic等分立元件制成。本文設(shè)計(jì)的基于max038的多波形函數(shù)信號發(fā)生器具有信號輸出頻率高、波形穩(wěn)定、失真小、可控性強(qiáng)等特點(diǎn)。利用信號進(jìn)行儀器的控制已是自動控制理論中的一個重要的手段。那么,一個幅度、頻率、穩(wěn)定性、占空比以及波形可調(diào)的信號發(fā)生器的設(shè)計(jì)和完成更具有實(shí)際價(jià)值。只要將這個函數(shù)信號發(fā)生器設(shè)的設(shè)計(jì)思路掌握好,不但可以融會貫通所學(xué)的專業(yè)知識,還可以在今后的工作中作為參考。本文介紹一種由馬克西姆公司max038設(shè)計(jì)的簡易信號發(fā)生

10、器,該器件結(jié)構(gòu)簡單,雖然性能指標(biāo)趕不上標(biāo)準(zhǔn)信號發(fā)生器,但能滿足一般的實(shí)驗(yàn)要求。其成本低、體積小、便于攜帶等特點(diǎn),亦可作為電子產(chǎn)品維修人員的隨身設(shè)備之一。本文主要分4大部分:緒論、信號發(fā)生器原理、整體設(shè)計(jì)方案、硬件部分、總結(jié)。緒論首先對課題背景和所涉及的技術(shù)領(lǐng)域進(jìn)行介紹;第一章對信號發(fā)生器作一個簡要介紹,確定系統(tǒng)的主要模塊,第二章主要是詳細(xì)給出本設(shè)計(jì)的理念及整體方案;第三章則是具體到各個電路模塊的設(shè)計(jì),最后是對本設(shè)計(jì)的總結(jié)與展望。22東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) 信號發(fā)生器的原理 1信號發(fā)生器的原理1.1 信號發(fā)生器的簡介與分類信號發(fā)生器又稱信號源或振蕩器,是一種能提供各種頻率、波形和輸出電平電

11、信號,常用作測試的信號源或激勵源的設(shè)備,在生產(chǎn)實(shí)踐和科技領(lǐng)域中有著廣泛的應(yīng)用。能夠產(chǎn)生多種波形,如三角波、鋸齒波、矩形波(含方波)、正弦波的電路被稱為函數(shù)信號發(fā)生器。函數(shù)信號發(fā)生器在電路實(shí)驗(yàn)和設(shè)備檢測中具有十分廣泛的用途。例如在通信、廣播、電視系統(tǒng)中,都需要射頻(高頻)發(fā)射,這里的射頻波就是載波,把音頻(低頻)、視頻信號或脈沖信號運(yùn)載出去,就需要能夠產(chǎn)生高頻的振蕩器。在工業(yè)、農(nóng)業(yè)、生物醫(yī)學(xué)等領(lǐng)域內(nèi),如高頻感應(yīng)加熱、熔煉、淬火、超聲診斷、核磁共振成像等,都需要功率或大或小、頻率或高或低的振蕩器。按輸出波形分,可將信號發(fā)生器分為正弦信號發(fā)生器,脈沖信號發(fā)生器,函數(shù)信號發(fā)生器,噪聲信號發(fā)生器,按產(chǎn)生

12、方法分為諧振法、頻率變換法和合成法等。1.2 函數(shù)信號發(fā)生器的認(rèn)識 信號發(fā)生器一般區(qū)分為函數(shù)信號發(fā)生器及任意波形發(fā)生器,而函數(shù)波形發(fā)生器在設(shè)計(jì)上又區(qū)分出模擬及數(shù)字合成式。眾所周知,數(shù)字合成式函數(shù)信號源無論就頻率、幅度乃至信號的信噪比(s/n)均優(yōu)于模擬,其鎖相環(huán)( pll)的設(shè)計(jì)讓輸出信號不僅是頻率精準(zhǔn),而且相位抖動(phase jitter)及頻率漂移均能達(dá)到相當(dāng)穩(wěn)定的狀態(tài),但畢竟是數(shù)字式信號源,數(shù)字電路與模擬電路之間的干擾,始終難以有效克服,也造成在小信號的輸出上不如模擬式的函數(shù)信號發(fā)生器。 1.3 函數(shù)信號發(fā)生器的原理低頻信號發(fā)生器的原理如圖1-3-1所示。整個設(shè)計(jì)的核心部分為正弦波發(fā)生

13、電路,其他波形通過按鍵控制電路的控制選通,均由此波形變換而成。該系統(tǒng)由波形產(chǎn)生及轉(zhuǎn)換電路,按鍵控制電路,低通濾波電路,功率放大電路等組成。波形的產(chǎn)生過程是這樣的。由開關(guān)控制選擇所要產(chǎn)生的波形以及波形的頻率,用以控制后續(xù)電路的波形產(chǎn)生。波形的產(chǎn)生是由波形發(fā)生電路完成的:由正弦波發(fā)生電路產(chǎn)生一個基準(zhǔn)正弦波信號,其中含有三個不同的頻段。再由正弦波-方波變換電路產(chǎn)生方波,繼以方波-三角波變換電路產(chǎn)生三角波。信號產(chǎn)生后由低通濾波電路對信號進(jìn)行濾波處理,再經(jīng)過功率放大電路對波形幅度及功率進(jìn)行調(diào)節(jié)后輸出。其原理圖如圖1-3-1。圖1-3-1信號發(fā)生器的原理圖1.4 函數(shù)信號發(fā)生器的工作過程 整個系統(tǒng)的工作過

14、程:開始整個系統(tǒng)等待鍵盤輸入,8255a對鍵盤進(jìn)行掃描,判斷是否有鍵按下,如果有鍵按下,則將命令送單片機(jī)89c52進(jìn)行處理,此時(shí)已經(jīng)進(jìn)入單片機(jī)89c52主控制模塊。單片機(jī)對送來數(shù)據(jù)進(jìn)行分析和處理,判斷是什么功能鍵,什么數(shù)字鍵或者其他鍵被按下。如果是波形選擇鍵被按下,則單片機(jī)進(jìn)行取波形表數(shù)據(jù)。例如,按下的是波形選擇鍵,選擇的是正弦波,那么單片機(jī)89c52把數(shù)據(jù)指針pdtr指向存儲正弦波幅度編碼的波形表的首地址,然后通過偏移地址r0的自增來逐一的對正弦波的256個抽樣波形數(shù)據(jù)進(jìn)行周期性的尋址取值,然后波形信號通過功率放大電路,將其放大輸出。如果按下的鍵是頻率控制鍵,則單片機(jī)等待鍵盤輸入十進(jìn)制的數(shù)據(jù)

15、即頻率值,然后將單片機(jī)對8255a送來的數(shù)據(jù)進(jìn)行分析處理,這里就要涉及到單片機(jī)89c52的算法。最后把數(shù)據(jù)轉(zhuǎn)換為一個n值,這個n值就作為調(diào)用延時(shí)程序的次數(shù),這樣就通過尋址時(shí)間的不同從而達(dá)到改變信號的頻率,這里和上面的流程就相同了,不再累贅了。1.5本章小結(jié) 本章節(jié)主要是講述了函數(shù)信號發(fā)生器的相關(guān)知識,對其工作原理進(jìn)行了簡要敘述,為本文設(shè)計(jì)函數(shù)信號發(fā)生器提供豐富的資源,怎么設(shè)計(jì)一個簡易的函數(shù)信號發(fā)生器呢?下一章將作詳細(xì)的說明。東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) 函數(shù)信號發(fā)生器的方案設(shè)計(jì) 2函數(shù)信號發(fā)生器的方案設(shè)計(jì) 2.1函數(shù)信號發(fā)生器的總體結(jié)構(gòu)本課題設(shè)計(jì)的主導(dǎo)思想是軟硬件結(jié)合,力求性能可靠,電路簡單,

16、實(shí)現(xiàn)方便。選擇通用器件,程序運(yùn)行可靠,邏輯合理,同時(shí)充分利用單片機(jī)比較豐富的內(nèi)部資源,來實(shí)行系統(tǒng)的各種功能控制從而使硬件電路大大簡化了。本設(shè)計(jì)主要是通過單片機(jī)實(shí)現(xiàn)低頻信號發(fā)生器的頻率和幅度控制, 整機(jī)電路的組成主要由以下四部分組成。(i)控制選擇電路部分(2)信號發(fā)生部分(3)濾波放大電路部分(4)電源電路部分整機(jī)總體結(jié)構(gòu)方框圖見圖2-1-1。其中控制選擇部分主要是對信號的頻率、幅值、占空比進(jìn)行控制,并選擇輸出正弦波、三角波或是方波的一種。電源部分濾波放大部分控制選擇部分信號發(fā)生部分圖2-1-1 整機(jī)總體結(jié)構(gòu)方框圖 2.2 硬件電路方案的選擇及設(shè)計(jì) 本信號發(fā)生器主要是為普通實(shí)驗(yàn)提供標(biāo)準(zhǔn)信號波形

17、為主,因此在本設(shè)計(jì)中著重波形的實(shí)現(xiàn),而頻率從次要方面考慮,因此在設(shè)計(jì)中沒有采用成熟dds直接頻率合成技術(shù),直接用單片機(jī)的計(jì)數(shù)器的中斷延時(shí)來實(shí)現(xiàn)對頻率的控制,首先我考慮的硬件技術(shù)方案為:(1) 波形控制與選擇模塊:主要運(yùn)用單片機(jī)at89c52來完成。(2)波形產(chǎn)生模塊:主要運(yùn)用max038芯片來產(chǎn)生。(3)電路的濾波放大模塊:主要運(yùn)用模擬電子電路中的濾波功率放大電路。(4)電路的電源模塊:主要運(yùn)用78和79系列集成電路。采用上述設(shè)計(jì)方案有以下優(yōu)點(diǎn):(1)應(yīng)用單片機(jī)設(shè)計(jì)易于實(shí)現(xiàn)對各種波形的控制和實(shí)現(xiàn)并可以通過簡單硬件接口電路而實(shí)現(xiàn)本設(shè)計(jì)信號發(fā)生器的智能化控制。使信號發(fā)生器的具有波形轉(zhuǎn)換和頻率和幅度

18、顯示轉(zhuǎn)換等功能, 并且操作使用簡單、靈活方便,易于擴(kuò)展串行接口電路與pc聯(lián)網(wǎng),進(jìn)行數(shù)據(jù)的雙機(jī)通訊,從而達(dá)到了預(yù)期的設(shè)計(jì)效果。(2)本系統(tǒng)采用了功放和濾波設(shè)計(jì),克服了模擬信號直接輸出弊端。使輸出電路的設(shè)計(jì)、調(diào)試、電壓精度等都得到了簡化和提高。 低頻信號發(fā)生器的總體設(shè)計(jì)框圖如圖2-2-1:cd4051數(shù)控模擬電子開關(guān)鍵盤輸入max038信號產(chǎn)生pc輸入單片機(jī)at89c52控制選擇濾波功率放大78和79系列集成電源圖2-2-1低頻信號發(fā)生器的總體設(shè)計(jì)框圖2.3軟件設(shè)計(jì)方案我們在軟件設(shè)計(jì)時(shí),程序中根據(jù)鍵盤的鍵碼量來判斷各個按鍵的具體功能,然后跳轉(zhuǎn)去執(zhí)行各個按鍵所設(shè)定功能,從而實(shí)現(xiàn)了單片機(jī)語言來控制各個

19、模塊的實(shí)現(xiàn),使硬件電路大大簡化了軟件中運(yùn)用單片機(jī)的數(shù)字處理方法對幅度和頻率進(jìn)行控制,降低了運(yùn)算量,更少的占用了單片機(jī)的有效的內(nèi)部資源。軟件設(shè)計(jì)的優(yōu)點(diǎn):(1)利用計(jì)算機(jī)將標(biāo)準(zhǔn)信號的幅度值經(jīng)過采樣、量化、編碼將連續(xù)的幅值變成有限采樣點(diǎn)的幅值,簡化了計(jì)算公式,簡化了程序,降低了編程難度。(2)大大減少了所占內(nèi)存量,使單片機(jī)硬件外圍電路簡化、降低成本。2.4本章小結(jié)本章以幾種常用標(biāo)準(zhǔn)波形為設(shè)計(jì)的出發(fā)點(diǎn),根據(jù)平時(shí)實(shí)驗(yàn)和運(yùn)用中提出的技術(shù)指標(biāo)要求,在分析相關(guān)資料的基礎(chǔ)上分析論證了函數(shù)信號發(fā)生器的總體方案及硬件設(shè)計(jì)和軟件設(shè)計(jì)的具體方案。采用本設(shè)計(jì)方案的優(yōu)點(diǎn)在于應(yīng)用單片機(jī)設(shè)計(jì)易于實(shí)現(xiàn)對波形的轉(zhuǎn)換和對頻率的大小和

20、幅度的幅值的計(jì)算和控制,并可以通過簡單接口電路,實(shí)現(xiàn)儀器的智能化,實(shí)際電路并不增加太多的元件和復(fù)雜的設(shè)計(jì);因此采用這種方案是合理的。具體各個電路模塊的設(shè)計(jì)在下一章會作詳細(xì)的介紹。 東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) 總結(jié) 3整機(jī)系統(tǒng)的硬件電路設(shè)計(jì) 3.1信號發(fā)生部分電路的設(shè)計(jì) 3.1.1 max038芯片的介紹 max038是美國maxim(馬克希姆)公司應(yīng)市場的需求而研制的單片集成高頻精密函數(shù)發(fā)生器,具有較高的頻率特性、頻率范圍很寬、功能較全、單片集成化、外圍電路簡單、使用方便靈活等特點(diǎn)。內(nèi)有主振蕩器、波形變換電路、波形選擇多路開關(guān)、2.5v基準(zhǔn)電壓源、相位檢測器、同步脈沖輸出及波形輸出驅(qū)動電路等

21、。其主要優(yōu)點(diǎn)有:1)能精密地產(chǎn)生三角波、鋸齒波、矩形波(含方波)、正弦波信號。2)頻率范圍從0.1hz20mhz,最高可達(dá)40mhz,各種波形的輸出幅度均為2v3)占空比調(diào)節(jié)范圍寬,占空比和頻率均可單獨(dú)調(diào)節(jié),二者互不影響,占空比最大調(diào)節(jié)范圍是1090。4)波形失真小,正弦波失真度小于0.75,占空比調(diào)節(jié)時(shí)非線性度低于2。5)采用5v雙電源供電,允許有5變化范圍,電源電流為80ma,典型功耗400mw,工作溫度范圍為070。8)內(nèi)設(shè)2.5v電壓基準(zhǔn),可利用該電壓設(shè)定fadj、dadj的電壓值,實(shí)現(xiàn)頻率微調(diào)和占空比調(diào)節(jié)。3.1.2芯片引腳及功能圖3-1-2 max038引腳圖表3-1-2 max0

22、38引腳名稱及功能引腳號名 稱功 能1ref2.50 v帶隙基準(zhǔn)電壓輸出端2gnd地3a0波形選擇輸入端,ttlcmos兼容4a1波形選擇輸入端,ttlcmos兼容5cosc外部電容連接端6gnd地7dadj占空比調(diào)整輸入端8fadj頻率調(diào)整輸入端9gnd地10iin用于頻率控制的電流輸入端11gnd地12pdo相位檢波器輸出端。如果不用相位檢波器則接地13pdi相位檢波器基準(zhǔn)時(shí)鐘輸入端。如果不用相位檢波器則接地14syncttlcmos兼容的同步輸出端,可由dgnd至dv+間的電壓作為基準(zhǔn)15dgnd數(shù)字地。讓他開路使sync無效,或是sync不用16dv+數(shù)字+5 v電源。如果sync不用

23、則讓他開路17v+5 v電源18gnd地19out正弦波、方波或三角波輸出端20v-5 v電源max038內(nèi)部還有正弦整形電路、比較器、復(fù)用器以及鑒相器電路,它們共同實(shí)現(xiàn)了正弦波、三角波、鋸齒波、矩形波和脈沖波的生成。3.1.3 max038的工作原理max038內(nèi)部框圖如圖3-2-3所示。該芯片工作電源采用正負(fù)5伏,功耗為400mw。內(nèi)部提供2.5v基準(zhǔn)電壓,通過外接可調(diào)電阻r3,r1向震蕩電流發(fā)生器的iin端和fdaj端提供頻率粗調(diào)電流和頻率細(xì)調(diào)電壓;通過r2向dadj端提供脈沖占空比調(diào)節(jié)電壓。這三種參數(shù)經(jīng)震蕩電流發(fā)生器處理后,向振蕩器提供充電電流,該電流對外接電容cf充電,形成震蕩,產(chǎn)生

24、三角波信號a,b,c,信號a送正弦波形成電路產(chǎn)生正弦波;信號b,c送入比較器1,產(chǎn)生方波。此兩路波形連同a路輸出的三角波同時(shí)送入混合器,有a0,a1控制端選擇其中的一種波形輸出,其邏輯關(guān)系是,當(dāng)a1為1時(shí),輸出正弦波;當(dāng)a0a1=00時(shí)輸出方波,脈沖波;當(dāng)a0a1=10時(shí),輸出三角波,鋸齒波。另外,信號a送入比較器2,產(chǎn)生同步信號sync,公外圍電路使用;信號b,c送入相位檢波器,產(chǎn)生pdo信號和pdi信號,供鎖相環(huán)電路(pll使用)。值得一提的是,調(diào)節(jié)r3將改變dadj端輸入電壓的大小,使三角波的對稱性發(fā)生變化,從而形成鋸齒波,并調(diào)節(jié)脈沖波的占空比。由此可見,要使正弦波的失真度最小,必須將完

25、全堆成的三角波輸入正弦波形成電路。圖3-1-3max038內(nèi)部框圖3.1.4頻率、幅值與占空比的控制max038的核心部分是一個電流控制的振蕩器,通過恒定電流對外部電容cf充電和放電,獲得三角波、方波和正弦波信號輸出。充放電電流由流進(jìn)max038的iin引腳的電流控制,由加在引腳dadj、fadj上的電壓調(diào)整。電路的振蕩頻率為:波形的占空比為:。當(dāng)時(shí),iin可設(shè)為時(shí),可設(shè)為,對應(yīng)中心頻率為350:1的變化;當(dāng)時(shí),調(diào)制頻偏為70%??刂仆獠侩娙莩?、放電電流的比值,當(dāng)時(shí),波形的占空比為50%;當(dāng),占空比為10%90%。在fadj和dadj端口的內(nèi)部,設(shè)置了的下拉電源流,可簡化外部電路設(shè)計(jì),僅用電阻

26、(連接引腳fadj和基準(zhǔn)電壓的可變電阻)和(連接引腳dadj和基準(zhǔn)電壓的可變電阻)就可以對頻偏和占空比進(jìn)行調(diào)整。iin引腳由內(nèi)部的運(yùn)放強(qiáng)制為虛地,故僅用電阻就能調(diào)整輸入電流,實(shí)現(xiàn)中心頻率的調(diào)節(jié)。的基準(zhǔn)電壓源主要用于提供電流和、電壓,其溫度系數(shù)典型值為20ppm/,負(fù)載電流小于。通過控制8選1模擬開關(guān)cd4051來選擇的電容量,從而確定頻率范圍。本系統(tǒng)共有8個頻段供切換,輸出頻率范圍與的對應(yīng)關(guān)系如下表3-1-4所示。表3-4-1 輸出頻率范圍與的對應(yīng)關(guān)系波段電容值頻率范圍120pf2mhz20mhz2100pf200khz2mhz31000pf20khz200khz40.01f2khz20khz

27、50.1f200hz2khz61f20hz200hz710f2hz20hz8100f0.1hz2hzmax038內(nèi)部有一個的基準(zhǔn)電壓源,由ref引腳輸出?;鶞?zhǔn)電壓源由兩個lf353及電阻電容組成,分別組成放大倍數(shù)為1和1的緩沖器,因而得到的基準(zhǔn)電源。這個電壓源對整機(jī)的性能很重要,因?yàn)楦骺刂齐娐肪枰搮⒖驾斎搿T趹?yīng)用中,max038通常可以單獨(dú)承擔(dān)函數(shù)信號輸出的功能,通過外部的電阻和電容的調(diào)節(jié),完成特定頻率和幅值的信號輸出。3.1.5 max038外圍硬件電路 該部分用上了一個cd4051芯片,即單8通道數(shù)字控制模擬電子開關(guān),具有低導(dǎo)通阻抗和很低的截止漏電流,外圍電路圖如圖3-1-5:圖3-1

28、-5max038外圍硬件電路3.2濾波部分電路的設(shè)計(jì)信號在產(chǎn)生的過程中存在著一定的諧波分量,這些分量疊加在有用信號上使輸出波形產(chǎn)生一定的畸變,因此要在輸出端經(jīng)過一級濾波處理,濾除多余的諧波分量,使輸出信號更加平滑。對于信號的頻率具有選擇性的電路稱為濾波電路,它的功能是使特定頻率范圍內(nèi)的信號順利通過,而阻止其他頻率信號通過。3.2.1 濾波電路的原理本設(shè)計(jì)濾波電路采用的濾波器原理是建立在二階壓控電壓源低通濾波器的基礎(chǔ)之上的。二階壓控電壓源低通濾波器電路如圖3-2-1所示。它由兩階rc濾波器和同向比例放大電路組成。其中同相比例放大實(shí)際上就是所謂的壓控電壓源,它的電壓增益就是低通濾波器的通帶電壓增益

29、。即aup = 1 +rf/r1 (3-1)從低通濾波器的通帶電壓增益的計(jì)算式子可看出,只要通過改變r(jià)f和r1比值就可以達(dá)到改變低通濾波器的通帶電壓增益aup大小的目的,那么調(diào)節(jié)rf和r1比值,即可對波形的幅度進(jìn)行一定范圍內(nèi)的調(diào)節(jié)。接下來我們計(jì)算一下二階低通濾波器傳遞函數(shù)。設(shè)c1=c2=c,a點(diǎn)的節(jié)點(diǎn)電流方程為vi(s)/r- va(s)/r - va(s)- v0(s)sc- va(s)/r- vp(s)/r=0 (3-2) p點(diǎn)的節(jié)點(diǎn)電流方程為 va(s)/r- vp(s)/r= vp(s) sc (3-3)由式(3-2)和(3-3)聯(lián)立,解出傳遞函數(shù) a(s)=v0(s)/ vi(s)=

30、 aup/1+(3- aup)src+src*src (3-4)式(3-4)為二階低通濾波器傳遞函數(shù)的表達(dá)式。其中1/rc為特征頻率,而 1/(3- aup)為等效品質(zhì)因數(shù)q,截止頻率為1/rc。所以我們可以通過設(shè)置r、c,調(diào)節(jié)通帶到我們需要的范圍。又因?yàn)?,只有?dāng)aup小于3時(shí),即分母中s的系數(shù)大于零時(shí),電路才能穩(wěn)定工作不產(chǎn)生自激振蕩,所以rf與r1的比值應(yīng)在1、2之間。圖3-2-1 低通濾波電路3.2.2 實(shí)際濾波電路 具體電路如圖3-2-2所示。圖3-2-2二階低通濾波器其中aup=1-2.8 q=0.5-5au=qaup=0.5-14f0=1/r6c4 其中中心頻率要根據(jù)前面的輸入信號的

31、頻率而定,故要通過調(diào)節(jié)c4、c5來確定,其中c4=c5。3.3放大輸出部分電路的設(shè)計(jì)max038輸出波形的幅值為2 v(p-p),最大輸出電流為20ma,輸出阻抗的典型值為0.1。可直接驅(qū)動100的負(fù)載。為了得到更大的輸出幅度和驅(qū)動能力,就需要對波形信號作進(jìn)一步處理。 在實(shí)用電路中,通常要求電路的輸出級輸出一定的功率,以驅(qū)動負(fù)載。能夠向負(fù)載提供足夠信號功率的放大電路稱為功率放大電路。從能量控制和轉(zhuǎn)換的角度看,功率放大電路與其他器放大電路在本質(zhì)上沒有根本的區(qū)別;只是功率放大電路既不是單純地追求輸出高電壓,也不是單純追求輸出大電流,而是在電源電壓確定的情況下,輸出盡可能大的功率。3.3.1 功率放

32、大的原理功率放大器既然要有較大的輸出功率,當(dāng)然也要求電源供給更大的注入功率。因此,功放的另一基本問題是工作效率問題。即有多少注入功率能轉(zhuǎn)換成信號功率。功率放大電路有以下幾個特點(diǎn):(1) 輸出功率大 (2) 效率高 (3) 大信號工作狀態(tài) (4) 功率bjt的散熱放大器按照晶體管bjt的工作狀態(tài)可分為三大類。1甲類功率放大器特點(diǎn):1)工作點(diǎn)q處于放大區(qū),基本在負(fù)載線的中間。2)在輸入信號的整個周期內(nèi),三極管都有電流通過。3)導(dǎo)通角為360度。缺點(diǎn):效率較低,即使在理想情況下,效率只能達(dá)到50%。由于有icq的存在,無論有沒有信號,電源始終不斷地輸送功率。當(dāng)沒有信號輸入時(shí),這些功率全部消耗在晶體管

33、和電阻上,并轉(zhuǎn)化為熱量形式耗散出去;當(dāng)有信號輸入時(shí),其中一部分轉(zhuǎn)化為有用的輸出功率。作用:通常用于小信號電壓放大器;也可以用于小功率的功率放大器。2乙類功率放大器特點(diǎn):1)工作點(diǎn)q處于截止區(qū)。2)半個周期內(nèi)有電流流過三極管,導(dǎo)通角為180度。3)由于icq=0,使得沒有信號時(shí),管耗很小,從而效率提高。缺點(diǎn):波形被切掉一部分,嚴(yán)重失真。作用:用于功率放大。3甲乙類功率放大器圖3-3-1-1甲乙類功率放大器特點(diǎn):1)工作點(diǎn)q處于放大區(qū)偏下2)大半個周期內(nèi)有電流流過三極管,導(dǎo)通角大于180度而小于360度3)由于存在較小的icq所以效率較乙類低,較甲類高。 缺點(diǎn):波形被切掉一部分,嚴(yán)重失真,如圖3-

34、3-1-1所示。作用:用于功率放大。本設(shè)計(jì)所采用的為甲乙類功率放大器。圖3-3-1-2為基本ocl電路。所示電路具有電路簡單,效率高等特點(diǎn),廣泛用于直流電機(jī)和電磁閥控制系統(tǒng)中。 但由于bjt的icq=0, 因此在輸入信號幅度較小時(shí),不可避免地要產(chǎn)生非線性失真-交越失真。圖3-3-1-2 基本ocl電路產(chǎn)生交越失真的原因是功率三極管處于零偏置狀態(tài),即:vbe1+vbe2=0。為消除交越失真,可以給每個三極管一個很小的靜態(tài)電流,這樣既能減少交越失真, 又不至于使功率和效率有太大影響。就是說,讓功率三極管在甲乙類狀態(tài)下工作,增大vbe1+vbe2。3.3.2 實(shí)際放大電路本設(shè)計(jì)采用了目前使用最廣泛的

35、一種互補(bǔ)功率放大電路。電路如圖3-3-2所示圖3-3-2 消除了交越失真的ocl電路該電路為消除了交越失真的ocl電路。如圖所示,靜態(tài)時(shí),從vcc經(jīng)過r2、r3、d1、d2、r10到-vcc有一個直流電流,它在t1和t2管兩個基極之間所產(chǎn)生的電壓為:ub1、b2=ud1+ud2+ur3 使ub1、b2略大于t1管發(fā)射結(jié)和t2管發(fā)射結(jié)開啟電壓之和,從而使兩只管子均處于 微導(dǎo)通狀態(tài),即都有一個微小的基極電流。靜態(tài)時(shí)應(yīng)調(diào)節(jié)r3,使發(fā)射極電位ue為0,即輸出電壓uo為零。當(dāng)所加信號按正弦規(guī)律變化時(shí),由于二極管d1、d2的動態(tài)電阻很小,而且r3的阻值也比較小,所以可以認(rèn)為t1管基極電位的變化與t2管基極

36、電位的變化近似相等,即ub1ub2ui。也就是說,可以認(rèn)為兩管基極之間電位差基本是一恒定值,兩個基極的電位隨ui產(chǎn)生同相的變化。這樣,當(dāng)ui0且逐漸增大時(shí),ube1增大,t1管基極電流ib1隨之增大,發(fā)射極電流ie1也必然增大,負(fù)載電阻rl上得到正方向的電流;與此同時(shí),ui的增大使ube2減小,當(dāng)減小到一定數(shù)值時(shí),t2管截止。同樣道理,當(dāng)ui1000次)flash rom 32個雙向i/o口 256bit內(nèi)部ram 3個16位可編程定時(shí)/計(jì)數(shù)器中斷 時(shí)鐘頻率0-24mhz 2個串行中斷 可編程uart串行通道 2個外部中斷源 共6個中斷源 2個讀寫中斷口線 3級加密位 低功耗空閑和掉電模式 軟

37、件設(shè)置睡眠和喚醒功能at89c52有pdip、pqfp/tqfp及plcc等三種封裝形式,以適應(yīng)不同產(chǎn)品的需求。本設(shè)計(jì)使用的是pdip雙列直插封裝形式,其引腳圖如圖3-5-1。 圖3-5-1at89c52雙列直插封裝形式的引腳圖 3.5.2單片機(jī)的最小系統(tǒng)設(shè)計(jì) 單片機(jī)at89c52內(nèi)部有8k bytes的可反復(fù)擦寫的只讀程序存儲器(perom),芯片本身就是一個最小系統(tǒng)。在能滿足系統(tǒng)的性能要求的情況下,可以優(yōu)先考慮采用此方案。用這種芯片構(gòu)成的最小系統(tǒng)簡單,可靠。用單片機(jī)at89c52構(gòu)成最小應(yīng)用系統(tǒng)時(shí),只要將單片機(jī)接上時(shí)鐘電路和復(fù)位電路即可,如圖3-5-2所示。圖3-5-2單片機(jī)89c52的最

38、小系統(tǒng)3.5.3單片機(jī)的外部電路at89c52中有一個用于構(gòu)成內(nèi)部振蕩器的高增益反相放大器,引腳xtal1和xtal2分別是該放大器的輸入端和輸出端。這個放大器與作為反饋元件的片外石英晶體或陶瓷諧振器一起構(gòu)成自激振蕩器。外接石英晶體及電容c1, c2接在放大器的反饋回路中構(gòu)成并聯(lián)振蕩電路。對外接電容c1, c2雖然沒有十分嚴(yán)格的要求,但電容容量的大小會輕微影響振蕩頻率的高低、振蕩器工作的穩(wěn)定性、起振的難易程序及溫度穩(wěn)定性,這里選擇使用石英晶體。也可以采用外部時(shí)鐘。采用外部時(shí)鐘的電路的情況時(shí),外部時(shí)鐘脈沖接到xtal1端,即內(nèi)部時(shí)鐘發(fā)生器的輸入端,xtal2則懸空。圖3-5-3單片機(jī)外圍硬件電路

39、3.6本章小結(jié)本章詳細(xì)介紹了整機(jī)系統(tǒng)的各個模塊的硬件電路設(shè)計(jì)。根據(jù)設(shè)計(jì)指標(biāo)的要求,盡量采用性能可靠、開發(fā)方便、接口簡單應(yīng)用面廣、價(jià)格低廉的元器件。介紹了這些元器件及其應(yīng)用電路的特點(diǎn)。本文根據(jù)具體情況和實(shí)際的設(shè)計(jì)要求,采用盡可能簡化的硬件電路設(shè)計(jì)方案,充分利用單片機(jī)的自身資源,使印刷電路設(shè)計(jì)及調(diào)試都將更加方便,而且還對部分電路的設(shè)計(jì)進(jìn)行了對比,驗(yàn)證了硬件設(shè)計(jì)方案的可行性。東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) 總結(jié)總結(jié)采用max038 芯片制作函數(shù)信號發(fā)生器隨設(shè)計(jì)思想不同,具有多種方法,本文只是其中一種可實(shí)現(xiàn)的方法。設(shè)計(jì)出的信號發(fā)生器結(jié)構(gòu)簡單,不需調(diào)整,具有很高的性價(jià)比,體積也很小。和利用分離元件實(shí)現(xiàn)的發(fā)

40、生器相比,具有顯著的優(yōu)勢,這足以彌補(bǔ)它在某些方面的不足。同時(shí)該信號源設(shè)計(jì)尚存在的不足之處,主要有兩個方面,第一為缺乏頻率準(zhǔn)確顯示的手段,可以配備相應(yīng)的數(shù)字頻率計(jì)模塊,但如何將顯示的精度與信號源的頻段配合有待討論研究;第二為輸出級可配以顯示輸出幅度的儀表,并且放大電路有待進(jìn)一步改進(jìn),使其具有更強(qiáng)的輸出能力。由于使用了單片機(jī)作為電路的控制核心,整個波形發(fā)生器具有成本低,可靠性高,體積小巧、易于攜帶,功耗低,輸出波形優(yōu)良,使用方便等優(yōu)點(diǎn)。在此波形發(fā)生器輸出加入一個寬帶可變增益放大器即可調(diào)整輸出波形幅度,改變參考頻率的大小即可改變輸出信號的分辨率及頻率范圍,頻率范圍可以連續(xù)覆蓋1hz20mhz,分辨率

41、可以做到10hz甚至1hz。對于低成本的小型波形發(fā)生器,這是max038的一個理想的應(yīng)用方案。東華理工大學(xué)畢業(yè)設(shè)計(jì)(論文) 致謝致 謝 本研究及學(xué)位論文是在我的導(dǎo)師李里亞老師的親切關(guān)懷和悉心指導(dǎo)下完成的。她嚴(yán)肅的科學(xué)態(tài)度,嚴(yán)謹(jǐn)?shù)闹螌W(xué)精神,精益求精的工作作風(fēng),深深地感染和激勵著我。李老師不僅在學(xué)業(yè)上給我以精心指導(dǎo),同時(shí)還在思想、生活上給我以無微不至的關(guān)懷,在此謹(jǐn)向李老師致以誠摯的謝意和崇高的敬意。我還要感謝在一起愉快的度過畢業(yè)論文小組的同學(xué)們,正是由于你們的幫助和支持,我才能克服一個一個的困難和疑惑,直至本文的順利完成。 在論文即將完成之際,我的心情無法平靜,從開始進(jìn)入課題到論文的順利完成,有多少可敬的師長、同學(xué)、朋友給了我無言的幫助,在這里請接受我誠摯的謝意!最后我還要感謝培養(yǎng)我長大含辛茹苦的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論