基于CPLD的智能交通燈控制系統(tǒng)_第1頁
基于CPLD的智能交通燈控制系統(tǒng)_第2頁
基于CPLD的智能交通燈控制系統(tǒng)_第3頁
基于CPLD的智能交通燈控制系統(tǒng)_第4頁
基于CPLD的智能交通燈控制系統(tǒng)_第5頁
免費預覽已結(jié)束,剩余1頁可下載查看

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、濱江學院 單片機原理及應用系統(tǒng)設計題 目 院 系 電子工程 專 業(yè) 通信工程 學生姓名 學 號 指導教師 二0 一三 年 六 月 五日基于cpld的智能交通燈控制系統(tǒng) 摘 要:本系統(tǒng)的設計采用altera公司推出的max ii系列的epm240tc100c5芯片作為控制核心。選用目前廣泛應用的vhdl硬件描述語言實現(xiàn)各模塊的描述,在quartus集成軟件平臺下通過編譯、軟件仿真,并在線下載到epm240tc100c5芯片上進行硬件仿真驗證。此系統(tǒng)在設計中充分體現(xiàn)了自頂向下的設計思想和模塊化的設計方法,將交通燈控制系統(tǒng)劃分成以下模塊:分頻模塊、特殊狀態(tài)模塊、控制模塊a、控制模塊b、動態(tài)顯示譯碼模

2、塊,并配合適當?shù)耐鈬娐吠瓿上到y(tǒng)設計。該設計可靠性高、體積小、功耗低,體現(xiàn)了eda技術(shù)在數(shù)字電路設計中的優(yōu)越性。 關(guān)鍵詞:epm240tc100c5;vhdl;quartusii;交通控制系統(tǒng)the system of intelligent traffic controller based on cpld li xiaoliang ,wang dongbo ,cheng haiyang abstract:the design of this system by altera company launched max ii series of epm240tc100c5 chip as con

3、trol core. choose is now widely application vhdl language realization hardware description of each module in quartus integration software, compile the workbench software simulation, and, epm240tc100c5 online download to chip hardware simulation validation. this system in design fully embody the top-

4、down design ideas and modular design method, the traffic lights control system was divided into the following modules: points frequency modules, special status module, control module a, control module b, dynamic display decoding module, and cooperate proper peripheral circuit complete system design.

5、 the design high reliability, small volume, low power consumption, reflected the eda technology in digital circuit design of superiority.keywords: epm240tc100c5; vhdl; quartusii; traffic control system一、基礎(chǔ)介紹隨著社會經(jīng)濟的發(fā)展,城市機動車量的不斷增加,許多城市出現(xiàn)了交通超負荷運行的情況,城市交通問題越來越引起人們的關(guān)注。出行交通的協(xié)調(diào),已成為交通管理部門需要解決的重要問題之一。因此,緩解主干

6、道與支干道、城區(qū)同周邊地區(qū)的交通擁堵狀況,越來越成為亟待解決的主要問題。所以,設計交通燈來完成這個需求就顯的越加迫切了。二、設計要求(1)設計一個十字路口的交通燈控制電路,要求南北方向(主干道)車道和東西方向(支干道)車道兩條交叉道路上的車輛能夠安全交替運行,如圖2-1所示。(2)主干道、支干道車道除了有紅、黃、綠、轉(zhuǎn)彎指示外,每一種燈亮的時間都用顯示器進行顯示(采用倒計時的方法)。 (3)有緊急車輛要求通過時,系統(tǒng)要能禁止普通車輛通行,a、b道均為紅燈并閃爍,緊急車輛信號由k2 開關(guān)模擬,同時啟動蜂鳴器模擬緊急鳴笛,等緊急車輛通過后,系統(tǒng)恢復之前的狀態(tài)。(4)交通燈狀態(tài)循環(huán)周期確定為140s

7、,各個狀態(tài)的循環(huán)轉(zhuǎn)換,如表2-1所示。 表2-1 交通燈循環(huán)狀態(tài)表 主干道綠燈黃燈左轉(zhuǎn)黃燈紅 燈60s5s20s5s50s支干道紅燈綠燈黃燈左轉(zhuǎn)黃燈90s25s5s15s5s三、系統(tǒng)的設計方案(一)系統(tǒng)的設計方案確定主控芯片采用epm240tc100c5由于系統(tǒng)規(guī)模較小, cpld中較多的資源完全可以滿足系統(tǒng)的設計需求。采用vhdl硬件描述語言,編寫智能交通燈系統(tǒng)中的分頻模塊、特殊狀態(tài)模塊、控制模塊a、控制模塊b、動態(tài)顯示譯碼模塊,然后下載到芯片cpld中,加上按鍵開關(guān)、顯示硬件電路和蜂鳴報警裝置等外圍電路就可以實現(xiàn)其功能。(二)智能交通燈系統(tǒng)的硬件設計 1系統(tǒng)的硬件結(jié)構(gòu)按鍵模塊二極管 epm

8、240核心板控制模塊數(shù)碼管晶振模塊 電源模塊圖3-1 系統(tǒng)硬件電路框圖 2按鍵模塊本系統(tǒng)考慮到機械式開關(guān)由于其低成本,高可靠性而被廣泛應用。但是,由于此類開關(guān)機械彈性的作用,在開關(guān)閉合或斷開的瞬間會伴隨著一連串的隨機抖動,使得某些電路誤動作,造成整個系統(tǒng)工作的異常。因此,在開關(guān)信號送入此類電路前,必須消除開關(guān)的抖動??紤]到采用vhdl語言編寫軟件去抖程序,也可以處理本模塊。但是經(jīng)過嘗試發(fā)現(xiàn)達不到理想的效果,也許是系統(tǒng)的抗干擾能力弱所致。故最終采用以下簡單的硬件消抖動電路,能夠到達好的去抖目的。原理圖如圖3-2所示。3epm240tc100c5核心板控制模塊altera公司的maxii系列芯片e

9、pm240tc100c5是其推出的基于新型架構(gòu)的cpld,此芯片功耗低、成本低、具有240個邏輯門、100個i/0端口,用其作為交通燈系統(tǒng)的主控芯片,完全可以滿足設計要求。通過將quartusii編譯、仿真通過的程序,在線下載到此芯片,以此達到控制的過程。圖 3-2 硬件去抖原理圖 4顯示模塊采用常見的發(fā)光二極管及數(shù)碼管結(jié)合分別達到指示狀態(tài)和顯示計數(shù)的功能。由于此系統(tǒng)用到8塊數(shù)碼管,16塊指示發(fā)光二極管,靜態(tài)顯示占用的的系統(tǒng)芯片的i/o比較多,故采用動態(tài)掃描的方法,利用人眼對光的視覺暫留現(xiàn)象,選擇合適的頻率,即可達到良好的顯示效果。 5電源模塊由于epm240tc100c5芯片內(nèi)部含有電壓調(diào)整

10、器支持多電壓的輸入,此處采用普通的+5v穩(wěn)壓電源即可滿足供電的要求。(三)智能交通燈系統(tǒng)的軟件設計 1分頻模塊本模塊通過epm240tc100c5核心系統(tǒng)板載48m晶振電路,經(jīng)分頻為系統(tǒng)提供1hz和1khz的信號,分別用來控制系統(tǒng)的控制器模塊、按鍵模塊和顯示模塊。先將48mhz信號分頻成1mhz信號,再分頻成1khz用于8位數(shù)碼管的動態(tài)掃描,再將1khz信號分頻成1hz信號用于控制器的輸入信號。分頻因子 div_param1=19 div_param2=499分頻公式為: 48m/2/(div_param1+1)=1mhz 1m/2/( div_param2)=1hz 2控制模塊利用狀態(tài)機來實

11、現(xiàn)狀態(tài)的轉(zhuǎn)換和輸出信號,因為系統(tǒng)要顯示倒計時的功能,所以采用計數(shù)器作為其計數(shù)模塊。部分狀態(tài)的程序如下: case state is when green=if s=1thenstate:=green;th:=th;tl:=tl;mg=0;mr=1;my=0;mt=0; else if (th=0000 and tl=0001) then state:=yellow1;my=1;mg=0;mr=0;mt=0;th:=0000;tl:=0101; elsif (th/=0000and tl=0000)then tl:=1001;th:=th-1; else tl:=tl-1; end if; en

12、d if; 3特殊狀態(tài)模塊為實現(xiàn)緊急車輛(如消防車)的快速通行,可以采用傳感器裝置,在消防車上發(fā)射信號,交通燈系統(tǒng)可以接受其信號,若是接到有特殊的情況需要緊急處理,立即封鎖主干道、支干道保證緊急車輛的順利通行。此處為了簡化,采用按鍵模擬接收到的信號,通過判斷,若得到緊急信號,啟動應急程序;反之,不啟動應急程序。 4顯示譯碼模塊此模塊接收控制模塊的輸出作為輸入,可以利用計數(shù)器,分時輸出經(jīng)過譯碼器處理過的數(shù)據(jù),到達顯示的目的。 由于是8位數(shù)碼管的顯示,要求比較高的掃描頻率,來實現(xiàn)循環(huán)顯示。四、系統(tǒng)的仿真波形及硬件測試由于采用的晶振電路40m頻率比較大,軟件仿真時,時間的跨度比較大,不太方便觀察仿真

13、結(jié)果,所以截取兩個圖形如圖4-1和圖4-2,共同顯示仿真的結(jié)果。從圖4-1中可以看到幾個狀態(tài)的轉(zhuǎn)換過程,從圖4-2中可以看到輸出的數(shù)據(jù)和位選的結(jié)果是正確的。圖 4-1 狀態(tài)轉(zhuǎn)換仿真圖 圖4-2 計數(shù)仿真圖五、下載與硬件仿真 1.目標芯片引腳鎖定(1)時鐘信號clk對應系統(tǒng)板目標芯片的pin_12。(2)時鐘報警voice,其對應系統(tǒng)板目標芯片的pin_36。(3)復位信號clr,其對應系統(tǒng)板目標芯片的pin_27 。(4)保持信號alarm,其對應目標芯片ep1k30的引腳號為pin_26。(5)位選信號為wei7.0, 其對應目標芯片ep1k30的引腳號為pin_1-pin_8。(6)計數(shù)信號為disp_out6.0, 其對應目標芯片ep1k30的引腳號為pin_83-pin_89。(7)指示燈信號分別為mr,my,mg,mt,其對應目標芯片ep1k30的引腳號為pin_55-pin_58,替他指示燈引腳依次類推設定即可。 2.下載下載程序至目標芯片,經(jīng)過相應的硬件調(diào)試,調(diào)試結(jié)果與軟件仿真的結(jié)果相吻合,驗證了設計完成了預定功能。六、總結(jié) 本設計能夠很好的實現(xiàn)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論