基于FPGA的DDS設(shè)計(jì)說明_第1頁
基于FPGA的DDS設(shè)計(jì)說明_第2頁
基于FPGA的DDS設(shè)計(jì)說明_第3頁
基于FPGA的DDS設(shè)計(jì)說明_第4頁
基于FPGA的DDS設(shè)計(jì)說明_第5頁
已閱讀5頁,還剩19頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、、實(shí)驗(yàn)名稱:基于FPGA的DDS信號源設(shè)計(jì)、技術(shù)規(guī)范:1 -實(shí)驗(yàn)?zāi)繕?biāo):設(shè)計(jì)一個(gè)直接數(shù)字頻率合成(DDS , Direct Digital Synthesis) , DDS是一種新型 的頻率合成技術(shù)。DDS技術(shù)是一種把一系列數(shù)字形式的信號通過DAC轉(zhuǎn)換成模擬信號 的合成技術(shù)。DDS技術(shù)具有頻率切換時(shí)間短,頻率分辨率高,頻率穩(wěn)定度高,輸出信號的頻率和 相位可以快速切換,輸出相位可連續(xù),并且在改變時(shí)能夠保持相位的連續(xù),很容易實(shí)現(xiàn)頻 率、相位和幅度的數(shù)字控制。它在相對帶寬、頻率轉(zhuǎn)換時(shí)間、相位連續(xù)性、高分辨率以及集 成化等一系列性能指標(biāo)方面遠(yuǎn)遠(yuǎn)超過了傳統(tǒng)頻率合成技術(shù)。因此在現(xiàn)代電子系統(tǒng)及設(shè)備的頻 率源設(shè)

2、計(jì)中,尤其在通信領(lǐng)域,直接數(shù)字頻率合成器的應(yīng)用越來越廣泛。2. 實(shí)現(xiàn)功能:本實(shí)驗(yàn)最后將設(shè)計(jì)出一個(gè)具有頻率控制和相位移控制功能的DDS o3. 引腳:本實(shí)驗(yàn)有三個(gè)輸入端口,8位的頻率控制字端口,分別接8個(gè)開關(guān)按鍵,8位的相位控制字端口,分別接另外的8個(gè)開關(guān)按鍵,系統(tǒng)時(shí)鐘輸入端口; 一個(gè)8位輸出 端口,接D/A的輸入端口。FPGA板上的時(shí)鐘頻率為50MHz ,本實(shí)驗(yàn)將其10分頻后得到5MHz再使用二總體設(shè)計(jì)方案;1.DDS原理:實(shí)驗(yàn)采用目前使用最廣泛的一種DDS方式是利用高速存儲(chǔ)器作查找表,然后通過高速DAC輸出已經(jīng)用數(shù)字形式存儲(chǔ)的波形。圖1 : DDS系統(tǒng)的基本原理圖圖1中虛方框部分是DDS的核

3、心單元,它可以采用CPLD/FPGA來實(shí)現(xiàn)。圖中的相位累加器由N位全加器和N位累加寄存器級聯(lián)而成,可對頻率控制字的二進(jìn)制碼進(jìn)行累加運(yùn)算,是典型的反饋電路。頻率控制字和相位控制字分別控制DDS輸出正(余)弦波的頻率和相 位。每來一個(gè) 時(shí)鐘脈沖,相位寄存器以步長M遞增。相位寄存器的輸出與相位控制字相加,其結(jié)果作為正 (余)弦查找表的地址。正(余)弦查找表的數(shù)據(jù)存放在ROM中,內(nèi)部存有一個(gè)周期的正 弦波信號的數(shù)字幅度信息,每個(gè)查找表的地址對應(yīng)于正弦波中0。360。范圍內(nèi)的一個(gè)相 位點(diǎn)。查找表把輸入的址信息映射成正(余)弦波的數(shù)字幅度信號,同時(shí)輸出到數(shù)模轉(zhuǎn)換器 DAC的輸入端,DAC輸出的模擬信號經(jīng)過

4、低通濾波器(LPF),可得到一個(gè)頻譜純凈的正(余)弦波DDS具體工作過程如下:每來一個(gè)時(shí)鐘脈沖elk , N位全加器將頻率控制數(shù)據(jù)M與 累加寄存器輸出的累加相位數(shù)據(jù)N相加,把相加后的結(jié)果送至累加寄存器的輸入端。累加 寄存器一方面將上一時(shí)鐘周期作用后所產(chǎn)生的新的數(shù)據(jù)反饋到加法器的輸入端,以使加法器 在下一時(shí)鐘的作用下繼續(xù)與頻 率控制數(shù)據(jù)M相加;另一方面將這個(gè)值作為取樣地址值送入幅 度/相位轉(zhuǎn)換電路,此電路根據(jù)取樣地址輸出相應(yīng)的波形數(shù)據(jù)。最后經(jīng)D/A轉(zhuǎn)換器和低通 濾波器將波形數(shù)據(jù)轉(zhuǎn)換成所需要的模擬波形。DDS輸出信號的頻率由下式?jīng)Q定:q=(2M2n) X elk (2M弋表取樣點(diǎn)數(shù),M為頻率 控制

5、字、2“代表存儲(chǔ)器中存儲(chǔ)數(shù)據(jù)的多少,N代表累加器的位數(shù),elk代表基準(zhǔn)時(shí)鐘頻 率)。調(diào)節(jié)M可以改變?nèi)拥狞c(diǎn)數(shù),從而改變頻率。假定基準(zhǔn)時(shí)鐘為70MHz ,累加器為16位,則elk=70MHz , 丫二2=65536(N 二 16),設(shè) M 二 12 貝 uX= 2-= 4096 ,所以 q= (4096/65536) X 70=4.375MHzo可見,通過設(shè)定相位累加器位數(shù)N、頻率控制字M和基準(zhǔn)時(shí)鐘的值,就 可以產(chǎn)生任一頻率的輸出。DDS的頻率分辨率定義為:q=elk/0由于基準(zhǔn)時(shí)鐘一般是固 定的,因此相位累加器的位數(shù)就決定了頻率的分辨率。2.功能實(shí)現(xiàn):如果利用AT29C010芯片實(shí)驗(yàn)接線圖如下

6、:LIOI呎氷農(nóng)ECEL羊iW出F審7C頂層模塊圖:叱二.匚門43 系統(tǒng)總體框圖:OUTiTauracmocmIDS 盤。urs WTcmiOC.IT7 0UT39 EE OUTIBCUTIIeOUH3UT13” CUT14CUT 5Al WOOAttfObA2冋AJA4g1 AJ/05A4iffOriAT如Al恤Ml OUT1H2W5能吒W:由訂 1八 KurJOB x?iirU0I5OUT tAECAllA12E ATACliLU 圖2 : DDS試驗(yàn)連線圖1iCih1flmt圖3 : DDS頂層設(shè)計(jì)電路圖1圖4 :總體框圖4模塊描述及功能實(shí)現(xiàn)(這里采用的是自己編的rom,沒有用falsh

7、芯片):頂層模塊:頂層模塊主要用于分頻,定義系統(tǒng)的輸入和輸出端口。由于實(shí)驗(yàn) 箱采用系統(tǒng) 時(shí)鐘位50MH乙 本實(shí)驗(yàn)才有時(shí)鐘頻率為5MH乙 所以本模塊主要負(fù)責(zé)將時(shí)鐘進(jìn)行10分頻。模 塊將調(diào)用相位累加模塊和rom模塊。模塊端口有:系統(tǒng)時(shí)鐘輸入端口 (接系統(tǒng)時(shí)鐘),8位 頻率控制字輸入端口和8位相位控制輸入端口(接16個(gè)開關(guān)按鍵),8位數(shù)據(jù)輸出端口(接 D/A的8位輸入端口),復(fù)位輸入端(接一個(gè)按鍵),分頻后的時(shí)鐘輸出(接D/A的時(shí)鐘輸 入)。名稱方向電平位寬功能elkin put3.3V1系統(tǒng)時(shí)鐘信號,(50MHZ)Fin put3.3V8頻率控制字resetin put3.3V1復(fù)位信號Pin p

8、ut3.3V8相位控制字sin_ outoutput3.3V8正弦波數(shù)據(jù)clk_5MHzoutput3.3v1分頻后的時(shí)鐘相位累加模塊:相位累加主要是利用頻率控制字和相位控制字來累加出尋址地址,N=N+M ; address=N+P ;其中N位累加寄存器,初期為0; 位頻 率控制字; address為尋址地址。模塊端口有:時(shí)鐘輸入端口(接頂層模塊5MHz時(shí)鐘),復(fù)位輸入端口(接頂層模塊復(fù)位端),8位頻率控制字輸入端口和8位相位控制輸入端口(分別接頂層模塊的 8位頻率控制字輸入端口和8位相位控制輸入端口),地址輸出端口(將地址通過頂層模塊傳送 給rom模塊,8位)。名稱方向電平位寬功能clk_5

9、MHIn put3.3V1分頻后時(shí)鐘(5MHz)MIn put3.3V8頻率控制字resetIn put3.3V1復(fù)位信號data_PMIn put3.3V8相位控制字data_outOutput3.3V13rom的地址rom模塊:首先利用MATLAB生成一個(gè).HEX文件,.HEX文件存儲(chǔ)的是一個(gè)深度為8192,寬度為8的正弦波形數(shù)字信號(數(shù)據(jù)不能超過255)。代碼為:depth=8192;%存儲(chǔ)單元數(shù)widths=8;N=0:1:8192;s=si n( pi*N/125);fidc=fope n(dds.hex/wt);% 寫入 sinrom.hex %fprin tf(fidc,dept

10、h=%d;n,depth);fprin tf(fidc;width=%d;n,widths);fprin tf(fidc,address_radix=uns;n*);fprin tf(fidc,data_radix = un s;n);fprintf(fidc,Content Beginn);%數(shù)據(jù)寬度為8位;%計(jì)算0pi/2的Sin值;%以切啲形式打開,n為換行fprin tf(fidc,%dn, rou nd(125*si n(pi*(x-1 )/4096)+125); endfprin tf(fidc;e nd;);fclose(fidc);然后在quartus下利用MATLAB生成的數(shù)

11、據(jù)編寫一個(gè)單口 rom,并且生成 對應(yīng)的V文 件,即rom模塊。本模塊的功能是通過傳送過來的地址,查找地址所對應(yīng)的數(shù)據(jù),并將數(shù)據(jù)輸 出。生成的模塊端口:地址輸入端口(接相位累加模塊傳送過來的地址,13位),時(shí)鐘輸入端 口(接分頻后的5MHz時(shí)鐘),數(shù)據(jù)輸出端口( 8位)。名稱方向電平位寬功能elkin put3.3V1分頻后時(shí)鐘(5MHz)addressin put3.3V13尋址地址qoutput3.3V8sin波形數(shù)據(jù)實(shí)驗(yàn)結(jié)果及分析:板子下載:將數(shù)字輸出接到DA轉(zhuǎn)換芯片的8個(gè)輸入腳,用示波器檢測DA的模擬輸出信號。改變頻率控制字和相位控制字(由于濾波器原因,改變相位控制字沒有實(shí)際效果),觀

12、察波形的改變。lek回 AutoM Pos: 0,000sSAVE/RECActionSave ImageFileFormatAboutSavingImagesSelectSaveTEK0003.JP GCH1 -915,.uVFolderCH1 500mVM別腫Current screen display saved to A:TEK0008JPG頻率控制字為olekJL StopM Pos: 0.000sSAVE/RECSave ImageFileFormatAboutSavingImagesSelectFolderSaveTEK0007.JPGCH1 SOOmVM BO.Ojjs30-J

13、un-10 03:43CH1 I -915A3V3.8373 戀 Hz頻率控制字為128理論值:q=(128/16384) X 500k=3.90625kHz(500k 為分頻后的時(shí)鐘)lek JL StopM Po$: C-OOOS SAVE/REC30-Jun-10 03:421.90351kHz頻率控制字為64理論值:q=(64/16384) X 500k=1.953kHzSave ImageFileFormatJPEGAboutSaving ImagesSelectFolderSaveTEK0006.JPGCH1 500mVM IOOJJS CH1/ 一乖山一Tek JL estop

14、M Po$: O.OCOS SAVE/RECSelect Folder-n iiSave ImageFileFormatAboutSaving ImagesSaveTEK0005.JPGM 2S0JU530-Jun-10 09:421 頻率控制字為32理論值:q=(32/16384) X 500k=0.977kHzCH1 500mVCHI I936.590HzTek Jk Stopm Pos: o.ooos卜rFVer丁廠:、,兒*EyiF,j:ECH1M 500ju$30-Jun-10 09:42頻率控制字為16理論值:q=(16/16384) X 500k=0.488kHzActionJP

15、EGSAVE/RECAction仙巳1朗邨FileFormatJPEGAboutSavinaImagesSelectFolderSaveTEKOOOA.JPCH1 乙刖5ju453.230Hz頻率控制字為8理論值:q=(8/16384) x 500k=0.244kHzM Pos: 0.000sSAVE/RECActionSave ImageFileFormatJPEGAbout Saving ImagesSelect FolderSaveTEK0002.JPGCHI 500mVM 2.50ms30-Jun-10 03:41CHI T 915juV 90.6646Hz頻率控制了為4理論值:q=(4/16384) X 500k=0.122kHzSave ImageFileFormatJPEGlek JL stopM Pos: 0.000s SAVE/RECActionAbout Saving ImagesSelect FolderSaveTEK0000.JPQM

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論