影視實(shí)驗(yàn)報(bào)告-帶結(jié)果081005_第1頁
影視實(shí)驗(yàn)報(bào)告-帶結(jié)果081005_第2頁
影視實(shí)驗(yàn)報(bào)告-帶結(jié)果081005_第3頁
影視實(shí)驗(yàn)報(bào)告-帶結(jié)果081005_第4頁
影視實(shí)驗(yàn)報(bào)告-帶結(jié)果081005_第5頁
已閱讀5頁,還剩34頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、實(shí)驗(yàn)一運(yùn)算器組成實(shí)驗(yàn) 一、實(shí)驗(yàn)?zāi)康?1學(xué)習(xí)數(shù)據(jù)信息的表示方法,熟練掌握幾種四則運(yùn)算方法。 2、掌握運(yùn)算器的工作原理及其組成結(jié)構(gòu),學(xué)習(xí)運(yùn)算器的設(shè)計(jì)方法。 3、熟悉簡單運(yùn)算的數(shù)據(jù)傳送通路。 4、驗(yàn)證運(yùn)算器功能發(fā)生器(74LS181)的組合功能。 二、實(shí)驗(yàn)設(shè)備 TWL-PCC十算機(jī)組成原理教學(xué)實(shí)驗(yàn)系統(tǒng)一臺(tái),排線若干;PC微機(jī)一臺(tái)(選配)。 三、實(shí)驗(yàn)原理 本實(shí)驗(yàn)屮所用的運(yùn)算器數(shù)據(jù)通路圖如圖1.1所示。 本通路圖中運(yùn)算器單元由算術(shù)邏輯運(yùn)算單元(ALU)、兩個(gè)字長的工作暫存器TR1和TR2及一個(gè)8位的輸 出三態(tài)門組成。其屮ALU是由兩片74LS181以并-串型構(gòu)成的8位字長的算術(shù)邏輯運(yùn)算單元。兩個(gè)芯片的控

2、 制端S3 S2、 SI、SO、M相應(yīng)的控制信號相互并到一起由排針引出至外部。74LS181的功能表見表1-1。 參與運(yùn)算的兩數(shù)據(jù)暫存器 TR1和TR2由鎖存器74LS273來實(shí)現(xiàn)。當(dāng)C_TR1或C_TR2為高電平時(shí),此時(shí)來 一個(gè)T4脈沖,內(nèi)總線上的數(shù)據(jù)即被打入到相應(yīng)的暫存器屮。運(yùn)算器的運(yùn)算結(jié)果數(shù)據(jù)輸出經(jīng)過一個(gè)三態(tài)門 (74LS245)連接到內(nèi)總線上,此三態(tài)門輸出由一個(gè)B_ALU控制信號控制,當(dāng)B_ALU為低電平(0)時(shí),運(yùn)算 器的運(yùn)算結(jié)果輸出至內(nèi)總線上,而為高電平(1)時(shí),則輸出高阻態(tài),不影響內(nèi)總線上的其他數(shù)據(jù)。 DO E 運(yùn)算器單元 245* F0 CN-1 札押-A0 B3 - 30 A

3、L U(1S 1) B沖 TR 2(273) N A TR 1(273) B3 - 30 H A3 - A0 B SW RD C TR1 C TR2 D7/ 叫 敵據(jù)總 輸入設(shè)備單元 7 DO (Z. 1 37- 三態(tài)門 -B0 (245) 數(shù)據(jù)開關(guān) 圖1.1運(yùn)算器數(shù)據(jù)通路圖 “輸入設(shè)備單 的8位數(shù)據(jù)開關(guān)經(jīng)過一個(gè)三態(tài)門 (74LS245)連接到內(nèi)總線上,該三態(tài)門的輸出由B_SW 和RD控制信號相或得出,當(dāng)或的結(jié)果為低電平( 0)時(shí),數(shù)據(jù)開關(guān)所置的數(shù)據(jù)輸出至內(nèi)總線上。 “數(shù)據(jù)總線”單元上的總線數(shù)據(jù)顯示燈已與內(nèi)總線相連,用來顯示內(nèi)總線上的數(shù)據(jù)。運(yùn)算器單元所須的 T4脈沖信號連接至該單元的T4排針端

4、。實(shí)驗(yàn)時(shí),微動(dòng)開關(guān)KK2的輸出KK2+連接到該單元的T4排針端,按動(dòng)一下微動(dòng) 開關(guān),即可獲得一個(gè)單脈沖信號。此實(shí)驗(yàn)中的其他S3、S2、SI、SO、M CN C_TR1 C_TR2 B_ALU B_SWRD等都為電平信 號,將他們連接到“開關(guān)組單元”中的二進(jìn)制數(shù)據(jù)開關(guān)上來模擬不同的電平狀態(tài)?!伴_ 關(guān)組單元”的SW1SW17為相互獨(dú)立的二進(jìn)制數(shù)據(jù)開關(guān),開關(guān)向上時(shí)為0,開關(guān)向下時(shí)為1。 表1. 1 74LS181的邏輯功能表 輸入為A和B,輸出為F,為正邏輯 S3 S2 SI S0 M二0 (算術(shù)運(yùn)算) M-l (邏輯運(yùn)算) Cn=l (無進(jìn)位) Cn二0 (有進(jìn)位) 0 0 0 0 F=A F=A

5、 加 1 F=A 0 0 0 1 F=A+B F二(A+B)加 1 F 二 A+B 0 0 10 F 二 A+B F二(A+B)加 1 F=AB 0 0 11 F=0 減 1 F=0 F=0 0 10 0 F=A 加 AB F=A加Ab加1 F=AB 0 10 1 F二AB 加(A+B) F二AB 力口(A+B)加 1 F=B 0 110 F二A減B減1 F=A 減 B F 二 A B 0 111 F二AB 減 f=Ab f=Ab 10 0 0 F二 A 加 AB F二A 力口 AB 力口 1 F 二 A+B 10 0 1 F二 A 加 B F=A加B加1 F 二 A B 10 10 F二AB

6、 加(A+B) F二AB 力口(A+B)加 1 F=B 10 11 F=AB 減 F=AB F=AB 110 0 F二A 加 A F=A加A加1 F=1 110 1 F=A 加(A+B) F=A 加(A+B)加 1 f=a+b 1110 F=A 加(A+B) F=A 加(A+B)加 1 F=A+B 1111 F=A 減 1 F=A F=A 當(dāng)向TR1或TR2工作暫存器打入數(shù)據(jù)時(shí),數(shù)據(jù)開關(guān)三態(tài)門打開,這時(shí)應(yīng)保證運(yùn)算器輸出三態(tài)門關(guān)閉;同 樣,當(dāng)運(yùn)算器輸出結(jié)果至總線時(shí)也應(yīng)保證數(shù)據(jù)輸入三態(tài)門是在關(guān)閉狀態(tài)。本TWL-PCC十算機(jī)組成原理實(shí)驗(yàn)系 統(tǒng)中的所有LED指示燈均為亮?xí)r所示狀態(tài)為高電平(1),燈不亮

7、時(shí)所示其狀態(tài)為低電平(0)。 四、實(shí)驗(yàn)步驟 1、連接實(shí)驗(yàn)線路。參考實(shí) 驗(yàn)連線圖見圖 1.2o仔細(xì)檢查無誤后,接通電 2、先置相關(guān)的控制信號為 初始態(tài),即使運(yùn)算器和輸入設(shè)備 的輸岀都為高阻態(tài)( 圖12運(yùn)算器組成實(shí)驗(yàn)接線圖 B_ALU=1 B_SW=1, “輸入 設(shè)備單元”屮的RD信號可以一直為低電平(RD二0,暫存器TR1和TR2的門控信號都為低電平(C_TR1二0 C_TR2二0。 3、通過“輸入設(shè)備單元”的數(shù)據(jù)開關(guān)向暫存器TR1中置數(shù)。 撥動(dòng)8位數(shù)據(jù)開關(guān)形成一個(gè)8位二進(jìn)制數(shù)。(如01100010) o 數(shù)據(jù)開關(guān)上的數(shù)據(jù)輸出至總線(B_SW=0,打開暫存器TR1的門控信號(C_TR仁D。 按動(dòng)

8、微動(dòng)開關(guān)KK2,產(chǎn)生一個(gè)T4脈沖,將數(shù)據(jù)開關(guān)上的數(shù)據(jù)(01100010)打入到TR1中。然后關(guān)掉暫存器TR1 的門控信號(C_TR1二0。 4、通過“輸入設(shè)備單元”的數(shù)據(jù)開關(guān)向暫存器TR2中置數(shù)。 撥動(dòng)8位數(shù)據(jù)開關(guān)形成一個(gè)8位二進(jìn)制數(shù)。(如10101101) o 數(shù)據(jù)開關(guān)上的數(shù)據(jù)輸出至總線(B_SW=0,打開暫存器TR2的門控信號(C_TR2二D。 按動(dòng)微動(dòng)開關(guān)KK2,產(chǎn)生一個(gè)T4脈沖,將數(shù)據(jù)開關(guān)上的數(shù)據(jù)(10101101)打入到TR2中。然后關(guān)掉暫存器TR2 的門控信號(C_TR2二0。 5、關(guān)掉數(shù)據(jù)開關(guān)的輸出三態(tài)門(B_SW=1,打開運(yùn)算器的數(shù)據(jù)輸出三態(tài)門(B_ALU=0,使運(yùn)算器輸出至

9、總線上。此時(shí),改變運(yùn)算器的控制信號S3、S2、SI、SO、M及CN的狀態(tài),就可獲得不同的運(yùn)算結(jié)果。參照 表1. 1其邏輯功能表。 女口:先檢驗(yàn)TR1和TR2中打入的數(shù)是否正確,可將 S3、S2、SI、S0及M分別置為1、1、1、1、1時(shí)總 線上顯示的為TR1中的數(shù);置成1、0、1、0、1時(shí)則顯示的為TR2中的數(shù)。 五、實(shí)驗(yàn)要求 1、做好預(yù)習(xí),掌握ALU的功能特性,并熟悉本實(shí)驗(yàn)中所用的控制開關(guān)的作用和使用方法。 2、置數(shù)TR仁62H TR2=ADH改變運(yùn)算器的功能設(shè)置,觀察運(yùn)算器的輸出,記錄到下表12中,并進(jìn)行 理論分析,得出結(jié)論。 表12 DR1 DR2 S3S2S1S0 M=0 (算術(shù)運(yùn)算)

10、 M=1 (邏輯運(yùn)算) Cn=l無進(jìn)位 Cn=0有進(jìn)位 理論值 實(shí)驗(yàn)值 理論值 實(shí)驗(yàn)值 理論值 實(shí)驗(yàn)值1 62H ADH 0 0 0 0 F=62H F= F=63H F= F=9DH F= 62H ADH 0 0 1 F=EFH F= F=F0H F= F=10H F= 62H ADH 0 0 10 F=72H F= F=73H F= F=8DH F= 62H ADH 0 0 11 F=FFH F= F=00H F= F=00H F= 62H ADH 1 0 0 F=A4H F= F=A5H F= F=DFH F= 62H ADH 1 0 1 F=31H F= F=32H F= F=52H

11、F 二 62H ADH 0 110 F=B4H F= F=B5H F= F=CFH F 二 62H ADH ;0 1 1 1 F=41H F= F=42H F= F=42H F 二 62H ADH p 0 0 0 F=82H F= F=83H F= F=BDH F 二 62H ADH 10 0 1 F=0FH F= F=10H F= F=30H F= 62H ADH 10 10 F=92H F= F=93H F= F=ADH F= 62H ADH M 0 1 1 F=1FH F 二 F=20H F= F=20H F= 62H ADH 110 0 F=C4H F= F=C5H F= F=FFH

12、F 二 62H ADH 110 1 F=51H F= F=52H F= F=72H F 二 62H ADH M 1 1 0 F=D4H F= F=D5H F= F=EFH F 二 62H ADH 1111 F=61H F 二 F=62H 2 F=62H F= 實(shí)驗(yàn)二靜態(tài)存儲(chǔ)器實(shí)驗(yàn) 一、實(shí)驗(yàn)?zāi)康?1、掌握靜態(tài)隨機(jī)存儲(chǔ)器RAM的工作特性及使用方法。 2、了解半導(dǎo)體存儲(chǔ)器存儲(chǔ)和讀出數(shù)據(jù)的方法。 二、實(shí)驗(yàn)設(shè)備 TWL-PCC十算機(jī)組成原理教學(xué)實(shí)驗(yàn)系統(tǒng)一臺(tái),排線若干;PC微機(jī)一臺(tái)(選配)。 三、實(shí)驗(yàn)原理 SRAM通路圖見圖2.1,由一片6116構(gòu)成,其容量為2048 X 8位。6116的A10-A8腳接

13、地,只有A7-A0地 址使用, 實(shí)際使用存儲(chǔ)容量為256字節(jié)。存儲(chǔ)器的地址線A7-A0、數(shù)據(jù)線D7-D0、控制線片選線CS寫線WE 及輸出使能線0E均由排針引出,供用戶接線。6116功能表見表2.1所示。 表2. 16116功能表 狀態(tài) CS 0E WE D7D0 未選中 1 X X 高阻抗 禁止 0 1 1 高阻抗 讀岀 0 0 1 數(shù)據(jù)讀岀 寫入 0 1 0 數(shù)據(jù)寫入 寫入 0 0 0 數(shù)據(jù)寫入 存儲(chǔ)器的地址由“地址寄存器單元”給出。地址寄存器的輸入和存儲(chǔ)器的數(shù)據(jù)都接到內(nèi)總線上,由“輸 入設(shè)備單元”的數(shù)據(jù)開關(guān)經(jīng)三態(tài)門連接到總線上分時(shí)給出地址和數(shù)據(jù)。地址寄存器的打入時(shí)鐘是由C_AR和 T3脈

14、沖相與得到。 四、實(shí)驗(yàn)步驟 連接實(shí)驗(yàn)線路。 0E 參考實(shí)驗(yàn)連線圖如圖 2.2所示。仔細(xì)檢查無誤后,接通電源。 T2RD 圖2.1靜態(tài)存儲(chǔ)器通路圖 2、連續(xù)寫存儲(chǔ)器。給OOH 01H 02H、03H、04H地址單元分別寫入數(shù)據(jù)AAH BBH CCH DDH EEH 寫地址。關(guān)存儲(chǔ)器的片選線(CS=1) , CLR=1, WE=1 0E二1打開數(shù)據(jù)開關(guān)的輸出三態(tài)門(B_SW二ORD二0 , 此時(shí)數(shù)據(jù)開關(guān)中的數(shù)輸出占領(lǐng)總線,將數(shù)據(jù)開關(guān)的數(shù)置為00H ( 00000000),打開地址寄存器打入門控信號 (C_AR=1,然后按動(dòng)微動(dòng)開關(guān)KK2產(chǎn)生T3脈沖,即將00H打入到地址寄存器中,同時(shí)地址總線指示燈

15、顯示。 寫數(shù)據(jù)。關(guān)掉地址寄存器的門控信號(C_AR二0,將數(shù)據(jù)開關(guān)置為AAH( 10101010),打開存儲(chǔ)器的片 選線(CS二0),將寫線WE進(jìn)行1操作,此時(shí)數(shù)據(jù)開關(guān)中的數(shù)AAH以被寫到存儲(chǔ)器的00H地址單元中。 重復(fù),分別在01H 02H 03H 04H地址單元中寫入數(shù)據(jù)BBH CCH DDH EEH 3、連續(xù)讀存儲(chǔ)器。將存儲(chǔ)器OOH、01H 02H 03H 04H地址單元屮的數(shù)分別讀出,觀察讀岀的結(jié)果與寫入結(jié)果是否 一致。 寫地址。CS二1, CLR二1,WE二1 0E=l,打開數(shù)據(jù)開關(guān)的三態(tài)門(B_SW=O RD=O,此時(shí)數(shù)據(jù)開關(guān)中的 數(shù)輸出占領(lǐng)總線,將數(shù)據(jù)開關(guān)的數(shù)置為00H (000

16、00000),打開地址寄存器打入門控信號(C_AR=1,然后按 動(dòng)微動(dòng)開關(guān)KK2產(chǎn)生T3脈沖,即將00H打入到地址寄存器中,同時(shí)地址總線指示燈顯示。 讀數(shù)據(jù)。B_SW=1, CS=Q置讀線有效0E二Q總線顯示的即為從存儲(chǔ)器00H地址單元讀出的數(shù)據(jù)AAH 重復(fù),分別讀出01H 02H 03H 04H地址單元中的數(shù)據(jù),觀察與寫入的數(shù)據(jù)是否一致。 C3 SW17 (CP) 2 (OE) a SW5 (WE) a SW4 8 CZJ SW2 開 關(guān)組 卑元 圖2. 2靜態(tài)存儲(chǔ)器實(shí)驗(yàn)接線圖 4. 完成下表 數(shù)據(jù)輸入 數(shù)據(jù)輸出 00H 0000 0000 1111 1111 FFH 01H 0000 00

17、01 1111 1110 FEH 02H 0000 0010 1111 1101 FDH 03H 0000 0011 1111 1100 FCH 04H 0000 0100 1111 1011 FBH 05H 0000 0101 1111 1010 FAH 06H 0000 0110 1111 1001 F9H 07H 0000 0111 1111 1000 F8H 08H 0000 1000 1111 0111 F7H 09H 0000 1001 1111 0110 F6H OAH 0000 1010 1111 0101 F5H OBH 0000 1011 1111 0100 F4H OCH

18、 0000 1100 1111 0011 F3H ODH 0000 1101 1111 0010 F2H OEH 0000 1110 1111 0001 F1H OFH 0000 1111 1111 0000 F0H 實(shí)驗(yàn)三總線及數(shù)據(jù)通路組成實(shí)驗(yàn) 、實(shí)驗(yàn)?zāi)康?1理解總線的概念、作用和特性。 2、掌握用總線控制數(shù)據(jù)傳送的方法。 3、進(jìn)一步熟悉教學(xué)計(jì)算機(jī)的數(shù)據(jù)通路。 4、掌握數(shù)字邏輯電路屮故障的一般規(guī)律,以及排除故障的一般原則和方法。 5、鍛煉分析問題與解決問題的能力,在出現(xiàn)故障的情況下,獨(dú)立分析故障現(xiàn)彖,并排除故障。 二、實(shí)驗(yàn)設(shè)備 TWL-PCC十算機(jī)組成原理教學(xué)實(shí)驗(yàn)系統(tǒng)一臺(tái),排線若干;PC微機(jī)

19、一臺(tái)(選配)。 三、實(shí)驗(yàn)原理 總線用來連接各個(gè)功能部件,本實(shí)驗(yàn)的數(shù)據(jù)通路圖如圖4. Io各個(gè)部件都有自己的輸入輸出控制信號。 各個(gè)部件的控制信號都需要是連接到“開關(guān)組單元”的各個(gè)獨(dú)立的二進(jìn)制開關(guān)上來手動(dòng)控制。連接到總線上的地址寄存器 只有輸入線,其輸出直接連接到存儲(chǔ)器的地址,用于鎖存需讀寫的存儲(chǔ)器的地址。 本實(shí)驗(yàn)中時(shí)序信號用到了 T3和T4信號,可將“信號源單元”的時(shí)鐘輸出SY接到“時(shí)序發(fā)生器單元”的上,將 0T3和0T4分別連接到“總線單元”屮相應(yīng)的T3和T4端上,二進(jìn)制開關(guān)撥至“單步”狀態(tài),然后每按動(dòng)一次啟動(dòng)鍵 START就會(huì)順序產(chǎn)生一個(gè)T3、T4時(shí)序信號。 根據(jù)掛接在總線上的幾個(gè)部件,設(shè)

20、定實(shí)驗(yàn)要求:將存儲(chǔ)器10H地址存入數(shù)據(jù)93H,然后將存儲(chǔ)器10H地 址單元中存儲(chǔ)的數(shù)據(jù)送輸出單元顯示,同時(shí)也存入到R0寄存器中。 3- I 存諜滯卑元 AR 273 7 RA M(61 16) AZ - RO 374) cp D7- DO C RO Tl 地址崙辜器至元 禱怎線 三態(tài)匚 KiT 滬旳GA 紋培開關(guān) II 翁出沒備丘元 薇入設(shè)務(wù)亡元 圖4.1總線實(shí)驗(yàn)數(shù)據(jù)通路圖 B_SW ( RD . 簽有器堆電元 四、實(shí)驗(yàn)步驟 1 本實(shí)驗(yàn)有兩種連線方式: 各個(gè)單元的控制信號分別由不同的開關(guān)獨(dú)立控制,連線參考圖見圖4.2o 同后邊模型機(jī)實(shí)驗(yàn)相同,存儲(chǔ)器、I/O設(shè)備有各自的片選線,但是共用一根讀線和

21、一根寫線。 2、由于有不同的連線圖就有不同的執(zhí)行流程,按照第一種連線方式,完成實(shí)驗(yàn)任務(wù)須有以下幾步操作: 數(shù)據(jù)輸入開關(guān)置10H打入到地址寄存器。 數(shù)據(jù)輸入開關(guān)置數(shù)據(jù)93H打入到存儲(chǔ)器。 存儲(chǔ)器輸出數(shù)據(jù)到輸岀設(shè)備同時(shí)打入到R0寄存器。 3、連接實(shí)驗(yàn)線路。參考實(shí)驗(yàn)連線圖如圖4.2所示。仔細(xì)檢查無誤后,接通電源。 4、置所有控制信號為初始態(tài):輸入設(shè)備(B_SW=1,RD=1.地址寄存器(C_AR二0、存儲(chǔ)器(CS二1 RD=1 WE二1、輸 出設(shè)備(B_LED=1 WR二、通用寄存器 RO (B_R0二 1、C_RO=O ,CLR=1O 5、“時(shí)序發(fā)生器單元”中的二進(jìn)制開關(guān)撥至“單步”狀態(tài)。 數(shù)據(jù)開

22、關(guān)置數(shù)10H, B_SW=1 RD二0, C_AR二1,按動(dòng)時(shí)序啟動(dòng)鍵START產(chǎn)生的T3節(jié)拍脈沖將總線上 的數(shù)據(jù)打入到地址寄存器中。關(guān)掉地址寄存器打入門控信號(C_AR=0) o 數(shù)據(jù)開關(guān)置93H, CS=O 0E=l WE=hOf 1,此時(shí)將總線上的數(shù)據(jù)93H寫入到存儲(chǔ)器當(dāng)前地址單元中。 關(guān)掉輸入設(shè)備三態(tài)門(B_SW二1,關(guān)掉存儲(chǔ)器片選線(CS=1)。 存儲(chǔ)器片選有效讀有效(CS=O WE=1 0E=0,輸出設(shè)備片選有效寫有效(B_LED=0 WR=P 0宀1),此時(shí)存儲(chǔ)器中 的數(shù)打入到輸出設(shè)備的數(shù)碼管中顯示,同時(shí),打開通用寄存器R0的打入門控信號(C_R0二1 , R0中。然后關(guān)存儲(chǔ)器(

23、CS=1), 按動(dòng)時(shí)序啟動(dòng)鍵START產(chǎn)生的T4節(jié)拍脈沖將總線上的數(shù)據(jù)打入到通用寄存器 關(guān)通用寄存器打入門控信號(C_RO二0。 五、實(shí)驗(yàn)要求 1、在數(shù)據(jù)傳送過程屮,發(fā)現(xiàn)了什么故障?記錄故障現(xiàn)象,排除故障的分析思路,故障定位及故障的性質(zhì)。 2、以第二種實(shí)驗(yàn)接線方法實(shí)現(xiàn)本實(shí)驗(yàn)要求,即存儲(chǔ)器、I/O設(shè)備(包括輸入設(shè)備和輸出設(shè)備)有各自的 片選線,但是共用一根讀線和一根寫線的方式連接實(shí)驗(yàn)線路,分析有什么區(qū)別,編寫執(zhí)行流程,寫出詳細(xì)的實(shí)驗(yàn)步驟,記 錄實(shí)驗(yàn)數(shù)據(jù)。 o o SV/9 B_SV/ RD o 時(shí)林號e D1 sy n- ( Q SW17 圖4.2總線實(shí)驗(yàn)接線圖 實(shí)驗(yàn)四控制器實(shí)驗(yàn) 、實(shí)驗(yàn)?zāi)康?1

24、、掌握計(jì)算機(jī)控制器的功能、組成及其不同的結(jié)構(gòu)。 2、掌握微程序控制器的組成、工作原理。 3、學(xué)習(xí)微程序控制器的設(shè)計(jì)與實(shí)現(xiàn)。 4、掌握設(shè)計(jì)指令的執(zhí)行流程。 5、熟悉本教學(xué)計(jì)算機(jī)微程序的編制、寫入,觀察微程序的運(yùn)行。 二、實(shí)驗(yàn)設(shè)備 TWL-PCC十算機(jī)組成原理教學(xué)實(shí)驗(yàn)系統(tǒng)一臺(tái),排線若干;PC微機(jī)一臺(tái)(選配)。 三、實(shí)驗(yàn)原理 1控制器原理 微程序控制器的基本思想可以概括為兩點(diǎn): 將控制器所需要的微命令,以微代碼的形式編成微指令,存入一個(gè)控制存儲(chǔ)器中,這個(gè)控制存儲(chǔ)器由 只讀存儲(chǔ)器ROM勾成。在計(jì)算機(jī)運(yùn)行時(shí),從控存中取出微指令,用其所包含的微命令來控制有關(guān)部件的操作。 將每種機(jī)器指令分解為若干條微操作序

25、列,用若干條微指令來解釋一條機(jī)器指令。再根據(jù)整個(gè)指令系統(tǒng)的需要, 編制出一套完整的微程序,預(yù)先存入控存中。 微程序控制器的工作原理是將一條微指令分成兩部分:控制命令字段和下址字段,用微指令的控制命令字段來提供 一條機(jī)器指令的一個(gè)執(zhí)行步驟所需要的控制信號,用這條微指令的下址字段來指明下一條微指令在控制存儲(chǔ)器屮的地址, 用于從控制存儲(chǔ)器中讀出下一條微指令。 微程序控制器的組成結(jié)構(gòu)包括:控制存儲(chǔ)器( CM、微指令寄存器(卩IR)、微地址寄存器(PAR)及后 續(xù)微地址形成電路。 2 .單元電路組成 微程序控制器組成原理圖如圖5.1,其邏輯框圖則如圖52所示。該單元主要由以下部件組成: (1)控制存儲(chǔ)器

26、(CM 控制存儲(chǔ)器(CM由4片2816 (2KX 8位)ETROM1成,具有掉電保護(hù)功能,用于存放32位微指令。 將4片2816并聯(lián)起來,就構(gòu)成了容量為256X 32位控制存儲(chǔ)器,即可以存放256條微指令。 “微控器單元”有一個(gè)三檔撥動(dòng)開關(guān),用來選擇控制器處于編程/校驗(yàn)/運(yùn)行狀態(tài)?!熬幊獭睜顟B(tài)即手動(dòng) 給控存寫數(shù)據(jù),“校驗(yàn)”狀態(tài)即手動(dòng)校驗(yàn)控存給定地址屮的數(shù)據(jù)。教學(xué)計(jì)算機(jī)運(yùn)行時(shí)須撥在“運(yùn)行”狀態(tài)。 控存的4片2816的片選信號CS分別由單片機(jī)控制單元的P3.2、P3. 3、P3. 4、P3. 5控制。平時(shí)都為有效狀 態(tài),只有聯(lián)機(jī)操作時(shí)上位機(jī)對控存進(jìn)行讀寫時(shí),會(huì)關(guān)掉所有片選,然后依次打開每片進(jìn)行讀寫

27、操作。而4片 2816的輸出使能0E寫信號WE勻由編程單元電路根據(jù)編程開關(guān)的狀態(tài)及聯(lián)機(jī)的情況控制產(chǎn)生并輸出,在“編 程”狀態(tài)時(shí)全為寫有效,在“校驗(yàn)”狀態(tài)時(shí)全為讀有效,在“運(yùn)行”狀態(tài)時(shí)全為讀有效,但當(dāng)聯(lián)機(jī)操作時(shí)上位機(jī)也會(huì)控制 讀寫狀態(tài)。 4片2816的存儲(chǔ)器的地址A7-A0分別并聯(lián)到一起。它們在手動(dòng)編程/校驗(yàn)時(shí)(“編程”狀態(tài)或“校驗(yàn)”狀態(tài))由 手動(dòng)微地址鎖存器(74LS374)提供,它由排針MCJ1的MA-MA0輸入在T1時(shí)刻打入鎖存;運(yùn)行時(shí) (“運(yùn)行”狀態(tài)下)由微地址寄存器(卩AR提供;或聯(lián)機(jī)情況下由單片機(jī)控制單元來給出。8個(gè)指示UA: - UA0等用 來實(shí)時(shí)顯示微地址。 控存的數(shù)據(jù)輸入/輸出

28、作為32位微指令寄存器(卩IR)的輸入端,或由32位微代碼輸入開關(guān)MK3-MK0經(jīng)三態(tài)門 (74LS245)作為輸入,三態(tài)門由編程開關(guān)電路控制, 兀單制控及 關(guān)開程綸 Kb FQI 74 LS24 5 “74 LS 24 5 -=5 1 74 LS 245 74 LS 24 5 MK24i MK23 MK16i I 1 單組關(guān)開 元 元單線總 元單機(jī)片單 32位微代碼輸入開關(guān) 圖5.1微程序控制器原理圖 指令寄存器1、R A字段譯碼 F字段譯碼 C字段 狀態(tài)條件 S3. ICF B ALU. . B INT C TR1.C INT TW1. TW1 三態(tài)緩沖器(8八 SE5. SEO 地址轉(zhuǎn)移

29、邏軻 微命令寄存器(2 4位) clRk| 微地址寄存器(8位)| 圖5.2微程序控制器邏輯框圖 32位指示燈MD3仆MDO顯示控 編程開關(guān)處于“編程”狀態(tài)時(shí)三態(tài)門打開,其他狀態(tài)都關(guān)閉。同時(shí),有 存32位數(shù)據(jù)線。在聯(lián)機(jī)狀態(tài)下可由 PC機(jī)控制單片機(jī)單元讀寫數(shù)據(jù)線。 (2)微指令寄存器(卩IR ) 微指令寄存器(卩IR )為32位,分為24位的微命令寄存器和8位的微地址寄存器(PAR)合起來構(gòu)成。24位 微命令寄存器由三片鎖存器(74LS273)組成。8位微地址寄存器由4片2D觸發(fā)器(74LS74)組成。這 些鎖存器的打入時(shí)鐘為 T2時(shí)鐘鎖存,清零端全接至開關(guān)組單元的總清CLR開關(guān)上。所以,當(dāng)總清

30、開關(guān)清零 后,微命令寄存器及微地址鎖存器都被清零。 8位微地址寄存器的輸出經(jīng)過一個(gè)三態(tài)門(74LS245)連接到控存的地址線上。 微指令的編碼采用直接表示法和分段譯碼表示法相結(jié)合,微指令第M31-M17位為直接輸岀的控制信號, M16- M14 M14 Mil、Ml (-8分別用一個(gè)74LS138譯出多個(gè)控制信號。微指令格式詳細(xì)說明見后。 (3)指令譯碼及后續(xù)微地址形成電路 本實(shí)驗(yàn)微程序控制器的后續(xù)微地址形成方法采用功能分支轉(zhuǎn)移,后續(xù)微地址直接包含在當(dāng)前微指令的代碼屮,即32 位微指令寄存器的后8位M7-MQ然后根據(jù)取來的機(jī)器指令的操作碼(鎖存于指令寄存器IR中) 及Ml (M8的狀態(tài)條件進(jìn)行

31、地址轉(zhuǎn)移邏輯判斷,從而找到該條指令執(zhí)行過程的一段微程序的入口地址,從而實(shí)現(xiàn)分支 轉(zhuǎn)移。根據(jù)以上分析,可將微程序的后繼微地址的控制原理總結(jié)如下: 當(dāng)條件測試字TWO有效(TWO=O )時(shí), 根據(jù)機(jī)器指令的操作碼(OF) 17 14進(jìn)行分支轉(zhuǎn)移,產(chǎn)生該 條指令的微程序入口地址。 當(dāng)17 16 15 14=0 0 0 0時(shí),SE3 SE2 SEI SEO=1 1 1 1,對后續(xù)微地址的后四位不會(huì)產(chǎn)生強(qiáng)制,原來的后續(xù) 微 地址的值不變。 當(dāng)17 16 15 14中一個(gè)或一個(gè)以上位為1時(shí),貝U SE3-SE0對應(yīng)位為0,同時(shí)就會(huì)對后續(xù)微地址相應(yīng)位強(qiáng)制 為1,從而使后續(xù)微地址發(fā)生改變。例如:取完指令之后,

32、根據(jù)TWC發(fā)生分支轉(zhuǎn)移,假設(shè)后續(xù)微地址為10Ho 操作碼OP (17-14 )為0000時(shí),指令的微程序入口地址為10H; 操作碼OP (17-14 )為0001時(shí),指令的微程序入口地址為1伯; 操作碼OP (17-14 )為0101時(shí),指令的微程序入口地址為15H; 操作碼OP (17-14丿為時(shí),指令的微程序入口地址為 1BH= 當(dāng)條件測試字TW1有效(TW1二0 )時(shí),根據(jù)機(jī)器指令碼II 10進(jìn)行譯碼,轉(zhuǎn)移至相應(yīng)指令的微程序 段,主要應(yīng)用于含尋址方式碼(MOD的機(jī)器指令,在這些指令中, 1514為尋址方式碼(M0) 1716和1110 為操作碼0P 當(dāng)條件測試字TW2有效(TW2二0 )

33、時(shí),根據(jù)進(jìn)位標(biāo)志進(jìn)行轉(zhuǎn)移,主要應(yīng)用于條件轉(zhuǎn)移指令JC。 當(dāng)條件測試字TW3有效(TW3二0 )時(shí),根據(jù)SWB SWA犬態(tài)進(jìn)行轉(zhuǎn)移,主要應(yīng)用于控制臺(tái)操作指令。 當(dāng)條件判斷ICF有效(ICF二0 )時(shí),根據(jù)INT是否有效,確定轉(zhuǎn)移到中斷響應(yīng)微程序入口地址。 現(xiàn)在介紹編程開關(guān)及控制電路?!拔⒖仄鲉卧痹O(shè)有一個(gè)編程開關(guān),它有三種狀態(tài):編程、校驗(yàn)、運(yùn)行。 當(dāng)編程開關(guān)處于“編程”狀態(tài)時(shí),可以實(shí)現(xiàn)手動(dòng)輸入微碼,此時(shí),控存執(zhí)行寫操作,控存(2816 )寫 有效(片選CS二Q寫使能WE二0輸出使能0E二)后續(xù)微地址輸出三態(tài)門(245)關(guān)閉(使能信號G=l),控存的地址由手動(dòng) 微地址鎖存器(374)提供,該鎖存器

34、輸出有效(輸出使能信號0E二0,控存的數(shù)據(jù)則由32 位的微代碼輸入開關(guān)輸入,微代碼輸入開關(guān)的三態(tài)門(245)打開(使能信號G=0) o 當(dāng)編程開關(guān)處于“校驗(yàn)”狀態(tài)時(shí),可以實(shí)現(xiàn)手動(dòng)校驗(yàn)微代碼,此時(shí),控存執(zhí)行讀操作,控存(2816) 讀有效(片選CS二Q輸出使能0E二0寫使能WE二1 ,后續(xù)微地址輸出三態(tài)門(245)關(guān)閉(使能信號G=l),控存的地址由 手動(dòng)微地址鎖存器(374)提供,該鎖存器輸出有效(輸出使能信號0E二0) , 32位微代碼輸入 開關(guān)的輸出三態(tài)門(245)關(guān)閉(使能信號G=l),控存的數(shù)據(jù)則由32位的微代碼顯示燈顯示輸出。 當(dāng)編程開關(guān)處于“運(yùn)行”狀態(tài)時(shí),可以實(shí)現(xiàn)運(yùn)行微程序,此時(shí),

35、控存執(zhí)行的是讀操作,控存(2816) 讀有效(片選CS二Q輸出使能0E二0,寫使能WE=)控存的地址是由后繼微地址修改邏輯提供,后續(xù)微地址 三態(tài)門(245) 打開(使能信號G二0,手動(dòng)微地址鎖存器(374)輸出關(guān)閉(輸出使能信號0E二1, 32位微代 碼輸入開關(guān)的三態(tài)門(245)關(guān)閉(使能信號G=l) o 3 微指令格式 微指令字長共32位,其定義及控制順序如表5. 1所示。 表5. 1微指令格式 各控制位作用解釋如下: *M31M25位,被定義為控制信號 S3、S2 Sl SO、M CN CF。號 M24-M22位,被定義為控制信理/m、WE RD C_PC M21位,被定義為控制信號 M2

36、0付被定義為控制信號 囂被定義為控制信號 U1O Q被定義為控制信號 M18 位, 八被定義為控制信號 M17 位, M16- M14位:經(jīng)過一個(gè)3: M13-M11位:經(jīng)過一個(gè)3: Ml (M8位:經(jīng)譯碼器譯出 C SP- U/Do 仝它作為屮斷控制單元的開屮斷控制,高電平有效。 ICFo它作為一條機(jī)器指令的結(jié)束標(biāo)志,低電平有效。 8譯碼器譯出7位控制部件總線輸出信號。 8譯碼器并反向后譯出6位控制部件輸入門控信號。 5位條件測試字信號及PC與堆棧指針寄存器的裝載控制信號。 A 7 AC 3 30 2 28 2 26 25 24 23 22 21 20 19 18 17 161514 131

37、211 109 8 7 6 5 4 3 2 1 0 S3 S2 S1 so M CN CP IO/M WE RD C PC C s u/D IA ICF A2A1A0 B2B1BC C2C1C0 )uA 7 UA( JuA )uA 4uA 3uA 2jA uAO 四、實(shí)驗(yàn)步驟 (1)圖55為一個(gè)設(shè)計(jì)好的簡單指令系統(tǒng)所對應(yīng)的微程序流程圖。其所對應(yīng)的微程序入口地址影射表 見表5. 5所示。按照微指令流程圖將全部微程序按微指令格式翻譯成二進(jìn)制代碼,將得到如表5.6所示的本 指令系統(tǒng)的微程序清單。 控制臺(tái)操作 運(yùn)行 01H PC-AR, PC+1 IN OUT 02H RAMdR ADD NOT 20

38、H TWO STA 03H RAM,AR ODH SWR0 f 20H POU RAM PC / 01 i 25H pa AR, PC+1 JW | OCH 圖5.5微程序流程圖 表5.5 微程序入口地址影射表 序號 指令 編碼 入口地址 1 IN RO 0000 0000 20H 2 OUT RO 0001 0000 21H 3 ADD RQ ADR 0010 0000 22H 4 NOT RO 0011 0000 23H 5 STA ADR RO 0100 0000 24H 6 JMP ADR 0101 0000 25H 表5.6基本指令的微程序清單 微址 S3 S2 SI SO M CN

39、 CP 10/M WE RD C_PC C_SP U/D IA ICF A B c 下址 操作功能 00 000000111100001 000 000 001 00010000 空操作 01 000000111110001 110 101 000 00000010 PC-AR, PC+1 02 000000111000001 000 100 100 00100000 RAM-IR 03 000000111000001 000 101 000 00001101 RAM-AR 04 000000111000001 000 101 000 00001110 RAM-AR 05 0000001110

40、00001 000 101 000 00000110 RAM-AR 06 000000111000001 000 010 000 00000111 RAM-TR2 07 000000111100001 100 001 000 00001000 RO-TR1 08 100101111100001 001 Oil 000 00000001 ALU-RO 09 000010111100001 001 Oil 000 00000001 ALU-RO 0A 000000111000001 000 101 000 00001011 RAM-AR 0B 000000110100001 100 000 000

41、 00000001 RO-RAM 0C 000000111010001 000 000 101 00000001 RAM-PC 0D 000000101000001 000 Oil 000 00000001 SW-RO 0E 000000100100001 100 000 000 00000001 RO-LED 10 000000111110001 110 101 000 00010010 PC-AR, PC+1 11 000000111110001 110 101 000 00010101 PC-AR, PC+1 12 000000101000001 000 001 000 00010100

42、 SW-TR1 13 000000111100001 000 000 000 00000001 空操作 14 111110110100001 001 000 000 00010000 ALU-RAM 15 000000111000001 000 001 000 00010110 RAM-TR1 16 111110100100001 001 000 000 00010001 ALU-LED 20 000000111110001 110 101 000 00000011 PC-AR, PC+1 21 000000111110001 110 101 000 00000100 PC-AR, PC+1

43、22 000000111110001 110 101 000 00000101 PC-AR, PC+1 23 000000111100001 100 001 000 00001001 RO-TR1 24 000000111110001 110 101 000 00001010 PC-AR, PC+1 25 000000111110001 110 101 000 00001100 PC-AR, PC+1 圖5. 5微程序流程圖中一個(gè)矩形方框表示一條微指令,方框中的內(nèi)容為該條指令執(zhí)行的微操作,右上角 的數(shù)字是該條指令的微地址,向下的箭頭指出下一條要指向的微地址。 (2) 連接實(shí)驗(yàn)線路圖,實(shí)驗(yàn)接線參

44、考圖如圖5. 6所示。仔細(xì)檢查接線 無誤后,接通電源。 (3) 寫微代碼 方法一:脫機(jī)手動(dòng)寫入。 將“微程序控制器單元”右上角的編程開關(guān)置為“編程”狀態(tài)。 將“時(shí)序發(fā)生器單元”屮的運(yùn)行狀態(tài)開關(guān)置為“單步”狀態(tài)。 在“開關(guān)組單元”用二進(jìn)制模擬開關(guān)置微地址到MA7- MAO 在MK3仆MKO開關(guān)組置32位微代碼,32位微代碼顯示燈用于指示所置開關(guān)狀態(tài),開關(guān)量為“0”時(shí)燈 滅,開關(guān)量為“1”時(shí)燈亮。 按START啟動(dòng)時(shí)序,將32位微代碼寫入控存2816 +由微地址 曲7MA0所指定的相應(yīng)單元。 給出不同的微地址,重復(fù)步驟,即可將微指令代碼一條條裝入控存中。 方法二:聯(lián)機(jī)裝入。參見本書第一部分第三章“

45、聯(lián)機(jī)軟件使用說明”o (4)校驗(yàn)微代碼 方法一:脫機(jī)手動(dòng)校驗(yàn)。 將“微程序控制器單元”右上角的編程開關(guān)置為“校驗(yàn)”狀態(tài)。 將“時(shí)序發(fā)生器單元”屮的運(yùn)行狀態(tài)開關(guān)置為“單步”狀態(tài)。 在“開關(guān)組單元”用二進(jìn)制模擬開關(guān)置微地址到MA7- MAO 按動(dòng)START按鍵,啟動(dòng)時(shí)序,即將控存2816中由微地址MA7 XIAO所指定的相應(yīng)單元屮代碼讀出, 由32位微代碼指示燈顯示其狀態(tài)。燈亮為“1 ”,滅為“ 0”。 給出不同的微地址,重復(fù)步驟,即可校驗(yàn)每個(gè)微地址存入的微代碼。 方法二:聯(lián)機(jī)裝入。參見本書第一部分第三章“聯(lián)機(jī)軟件使用說明”。 (5)運(yùn)行微指令單步運(yùn)行 將“微程序控制器單元”右上角的編程開關(guān)置為“

46、運(yùn)行”狀態(tài)。 將“時(shí)序發(fā)生器單元”中的運(yùn)行狀態(tài)開關(guān)置為“單步”狀態(tài)。 撥動(dòng)“開關(guān)組單元”中的CLR總清開關(guān)(1 T 0 T 1),使微地址寄存器UA7-UA0清零,從而使運(yùn) 行入口微地址從00H開始。 每按動(dòng)一次START按鍵,即讀出一條微指令代碼,然后停機(jī)。此時(shí),微地址顯示燈指示的為下一 條要執(zhí)行的微地址,微代碼顯示燈 MD3-MD0的狀態(tài)(為“ 0”時(shí)燈滅)指示下一條微地址所讀岀的微代碼。 連續(xù)運(yùn)行 將“微程序控制器單元”右上角的編程開關(guān)置為“運(yùn)行”狀態(tài)。 將“時(shí)序發(fā)生器單元”中的運(yùn)行狀態(tài)開關(guān)置為“連續(xù)”狀態(tài)。 撥動(dòng)CLR總清開關(guān)(IT 0T 1),使微地址寄存器清零,從而使運(yùn)行入口微地址

47、從00H開始。 按動(dòng)START按鍵,啟動(dòng)時(shí)序電路,則可以連續(xù)讀出(執(zhí)行)微指令代碼。 撥動(dòng)“開關(guān)組單元”中的CLR總清開關(guān)(1 TOT 1),或?qū)ⅰ皶r(shí)序發(fā)生器單元”中的運(yùn)行狀態(tài)開關(guān) 撥為“單步”狀態(tài)都可以使系統(tǒng)停機(jī)。 五、實(shí)驗(yàn)要求 1、將表5. 6中的微代碼寫入到控存并校驗(yàn)。 2、觀察單步執(zhí)行的流程,解釋其現(xiàn)象。 3、從圖5. 5微程序流程及上述執(zhí)行現(xiàn)象可看出,微程序流程圖中的很多微指令都沒有走到,為什么? 根據(jù)流程圖如何在出現(xiàn)分支時(shí)走到其他分支入口?(提示:由微控器單元的微地址強(qiáng)制位SE5- SEO實(shí)現(xiàn)。) 圖5. 6微程序控制器實(shí)驗(yàn)接線圖 實(shí)驗(yàn)六基本模型機(jī)設(shè)計(jì)與實(shí)現(xiàn) 一、實(shí)驗(yàn)?zāi)康?1在掌握

48、各部件單元電路實(shí)驗(yàn)的基礎(chǔ)上,進(jìn)一步將它們連接起來組成系統(tǒng),構(gòu)造一臺(tái)基本模型計(jì)算機(jī)。 2、為這個(gè)模型計(jì)算機(jī)設(shè)計(jì)指令系統(tǒng)及設(shè)計(jì)合理的指令和操作數(shù)的尋址方式等。 3、編寫指令系統(tǒng)對應(yīng)的微程序,將每條機(jī)器指令的微程序合理地分配在控制存儲(chǔ)器的適當(dāng)存儲(chǔ)地址屮。 4、上機(jī)調(diào)試掌握整機(jī)概念,掌握一臺(tái)基本模型計(jì)算機(jī)的設(shè)計(jì)的基本流程。 二、實(shí)驗(yàn)設(shè)備 1 TWL-PCC十算機(jī)組成原理教學(xué)實(shí)驗(yàn)系統(tǒng)一臺(tái),排線若干。 2、PC微機(jī)一臺(tái)(選配)。 三、實(shí)驗(yàn)原理 仁基本原理 目前大部分計(jì)算機(jī)基于馮諾依曼原理:存儲(chǔ)程序方式,將事先編制好的程序連續(xù)存放到存儲(chǔ)器屮。 二進(jìn)制代碼表示指令和數(shù)據(jù)。設(shè)計(jì)一臺(tái)完整的計(jì)算機(jī),大致需按如下的順

49、序來考慮: (1) 確定設(shè)計(jì)目標(biāo):確定所設(shè)計(jì)計(jì)算機(jī)的功能和用途。 (2) 確定指令系統(tǒng) 確定數(shù)據(jù)的表示格式、位數(shù)、指令的編碼、類型、需要設(shè)計(jì)哪些指令及使用的尋址方式。 (3) 總體結(jié)構(gòu)與數(shù)據(jù)通路 總體結(jié)構(gòu)設(shè)計(jì)包含確定各部件設(shè)置以及它們之間的數(shù)據(jù)通路結(jié)構(gòu)。在此基礎(chǔ)上,就可以擬岀各種信息傳 送路徑,以 及實(shí)現(xiàn)這些傳送所需要的微命令。 對于部件設(shè)置,比如要確定運(yùn)算器部件采用什么結(jié)構(gòu),控制器采用微程序控制還是硬布線控制等。 綜合考慮計(jì)算機(jī)的速率、性能價(jià)格比、可靠性等要求,設(shè)計(jì)合理的數(shù)據(jù)通路結(jié)構(gòu),采用何種方案的內(nèi)總線及外總 線。數(shù)據(jù)通路不同,執(zhí)行指令所需要的操作就不同,計(jì)算機(jī)的結(jié)構(gòu)也就不一樣。 (4)

50、設(shè)計(jì)指令執(zhí)行流程 數(shù)據(jù)通路確定后,就可以設(shè)計(jì)指令系統(tǒng)屮每條指令的執(zhí)行流程。根據(jù)指令的復(fù)雜程度,每條指令所需要的機(jī)器周期 數(shù)。對于微程序控制的計(jì)算機(jī),根據(jù)總線結(jié)構(gòu),需考慮哪些微操作可以安排在同一個(gè)微指令屮,哪些微操作不能安排在 同一條微指令中。 (5) 確定微程序地址:根據(jù)后續(xù)微地址的形成方法,確定每條微程序地址及分支轉(zhuǎn)移地址。 (6) 根據(jù)微指令格式,將微程序流程屮的所有微指令代碼化,轉(zhuǎn)化成相應(yīng)的二進(jìn)制代碼,寫入到控制存儲(chǔ)器屮的 相應(yīng)單元中。 (7) 組裝、調(diào)試:在總調(diào)試前,先按功能模塊進(jìn)行組裝和分調(diào)。 總調(diào)時(shí)連接所有模塊,用單步方式執(zhí)行機(jī)器指令的微程序流程圖,當(dāng)全部微程序流程運(yùn)行結(jié)果正確,則

51、在內(nèi)存屮裝 入一段機(jī)器指令,進(jìn)行其他的運(yùn)行方式等功能調(diào)試及執(zhí)行指令的正確性驗(yàn)證。 2 基本模型計(jì)算機(jī)設(shè)計(jì)步驟 基本模型機(jī)數(shù)據(jù)通路的控制將由微程序控制器完成,CPU從內(nèi)存屮取岀一條機(jī)器指令到指令執(zhí)行結(jié)束的 一個(gè)指令周期全部由微指令組成的序列來完成,即一條機(jī)器指令對應(yīng)一段微程序。 1 )確定設(shè)計(jì)目標(biāo)。 本實(shí)驗(yàn)將設(shè)計(jì)一個(gè)簡單的模型機(jī)算計(jì),具有計(jì)算機(jī)的基本功能部件,具有存儲(chǔ)器及輸入輸出設(shè)備的讀寫, 能進(jìn)行基本的運(yùn)算功能和程序分支轉(zhuǎn)移。 2 )確定指令系統(tǒng)。 指令的編碼原則須按照圖6. 3指令譯碼屮所示的17-14為操作碼,或擴(kuò)展操作碼11、10o 在本實(shí)驗(yàn)中,定義了六條簡單的機(jī)器指令,格式和功能如下:

52、 IN RO , PORT 0000 PORT 格式: 1716 15 14 13 12 11 10 功能:輸入設(shè)備單元中的數(shù)據(jù)開關(guān)所置的數(shù)T ROo PORT為輸入設(shè)備單元的口地址。 此指令為雙字節(jié)指令。 OUT PORT RO 格式:17 16 15 14 13 12 11 10 0001 PORT 功能:ROT輸出設(shè)備單元屮的鎖存器鎖存并在數(shù)碼管顯示數(shù)據(jù)。 PORT為輸岀設(shè)備單元的口地址。 此指令為雙字節(jié)指令。 ADD RQ ADDR 格式:17 16 15 14 13 12 11 10 0010 ADDR 功能:將R0寄存器中的數(shù)和存儲(chǔ)器ADR地址單元屮的數(shù)相加送到R0屮。 ADDR為

53、存儲(chǔ)器的地址。 此指令為雙字節(jié)指令。 NOT R0 格式:17 16 15 14 13 12 11 10 0011 功能:將R0寄存器中的數(shù)邏輯取反后又送回R0屮。 此指令為單字節(jié)指令。 STA ADDR, R0 格式:17 16 15 14 13 12 11 10 0100 ADDR 功能:將R0屮的數(shù)存儲(chǔ)到存儲(chǔ)器的ADR所指的地址單元屮。 ADDR為存儲(chǔ)器的地址。 此指令為雙字節(jié)指令。 JMP DATA 格式:17 16 15 14 13 12 11 10 0000 DATA 功能:將要執(zhí)行的指令無條件轉(zhuǎn)移到DATA所指的單元。DATA為一個(gè)立即數(shù)。此指令為雙字節(jié)指令。 上述六條指令中,、

54、屬于 1/0訪問指令,、屬于算術(shù)邏輯運(yùn)算指令,屬于存儲(chǔ)器訪問指令, 屬于轉(zhuǎn)移指令。第、條訪問存儲(chǔ)器采用直接尋址,第條采用立即數(shù)尋址。 由于本實(shí)驗(yàn)儀的開放型結(jié)構(gòu),導(dǎo)致其指令系統(tǒng)的設(shè)計(jì)具有較大的靈活性,因此實(shí)驗(yàn)系統(tǒng)沒有做相應(yīng)的固定匯編軟 件。所以,所有的程序設(shè)計(jì),均是按照自己設(shè)計(jì)好的指令系統(tǒng),手工編寫機(jī)器碼,這樣可以讓用戶 更真切、更感性地認(rèn) 識到計(jì)算機(jī)的工作過程和原理。 3)確定總體結(jié)構(gòu)和數(shù)據(jù)通路。 基本模型機(jī)的數(shù)據(jù)通路圖如圖 6.1 ;模型機(jī)的總線系統(tǒng)由數(shù)據(jù)總線、地址總線和控制總線構(gòu)成。 數(shù)據(jù)總線在計(jì)算機(jī)部件時(shí)間傳輸數(shù)據(jù)(數(shù)據(jù)、指令)信息。它的寬度8位。為保護(hù)CPU內(nèi)部部 件,又將數(shù)據(jù)總線由緩

55、沖BUF劃分成兩段,內(nèi)部數(shù)據(jù)總線和外部數(shù)據(jù)總線。將運(yùn)算器、控制器、程序計(jì)數(shù)器、指令寄 存器、通用寄存器、地址寄存器等部件掛接在內(nèi)部數(shù)據(jù)總線上,而存儲(chǔ)器、輸入設(shè)備、輸出設(shè)備等都 掛接在外部數(shù)據(jù)總線上,它們都是由具有三態(tài)邏輯輸出的門電路或觸發(fā)器線路提供數(shù)據(jù)來源。 地址總線在計(jì)算機(jī)各部件時(shí)間傳輸?shù)刂罚▋?nèi)存地址、I/O設(shè)備地址)信息。它的寬度8位,由地 址寄存器(AR)驅(qū)動(dòng)。地址總線的位數(shù)決定可尋址的最大內(nèi)存空間和I/O端口地址空間。 控制總線給出總線周期類型、I/O操作完成的時(shí)刻、中斷等有關(guān)信號。本實(shí)驗(yàn)控制總線由IO/M、 RD. WE. CLR等組成。存儲(chǔ)器和I/O用相同的讀寫線,由IO/M的狀態(tài)

56、來選擇是對存儲(chǔ)器操作還是對I/O操作。CLR總 清信號用來清微地址寄存器、微指令寄存器及程序計(jì)數(shù)器等。 圖6. 1基本模型機(jī)算計(jì)數(shù)據(jù)通路圖 模型機(jī)微程序的工作流程為:首先取指,從主存RAM中取出機(jī)器指令保存在指令寄存器IR屮,并將指 令碼送往指令譯碼器,指令譯碼器則同時(shí)結(jié)合微控器及其它部件有關(guān)信息進(jìn)行譯碼,產(chǎn)生控制微程序流程的信號SE5- SEO,送回微控器。微控器則根據(jù)SE5-SE0修改下址,產(chǎn)生后繼微指令地址,得到本機(jī)器指令微 程序段的入口地址。在下一個(gè)微周期,則從后繼微指令地址取出微指令,其32位編碼經(jīng)內(nèi)部譯碼器譯碼, 產(chǎn)生各種微操作控制信號,送往全機(jī)各個(gè)部件,以控制各部件協(xié)調(diào)運(yùn)轉(zhuǎn),完成

57、微指令所規(guī)定的功能。在一段微程序執(zhí)行 完后,相應(yīng)的一條機(jī)器指令也就執(zhí)行完畢,繼續(xù)又轉(zhuǎn)向公共微操作取指執(zhí)行下一條機(jī)器指令。 4)設(shè)計(jì)指令執(zhí)行流程,編寫微程序流程圖。 每條微指令分配一個(gè)微程序存儲(chǔ)器單元。 機(jī)器指令的功能由微程序完成,一條機(jī)器指令對應(yīng)著一段微程序。每條指令的微程序都包含三部分:取指令微 程序段、根據(jù)操作碼發(fā)生分支轉(zhuǎn)移至微程序入口的微指令及該機(jī)器指令的獨(dú)立微程序段。編寫微程序流程時(shí),將公共微 操作指令給各個(gè)不同的機(jī)器指令共用。 每條微指令只實(shí)現(xiàn)總線上一個(gè)數(shù)據(jù)傳送,或者進(jìn)行運(yùn)算器的一個(gè)運(yùn)算,或者啟動(dòng)存儲(chǔ)器的一個(gè)讀/寫。 編寫指令的微程序流程圖,不僅數(shù)據(jù)通路要可行,還要考慮微碼編寫是否可

58、行。例如,對于存儲(chǔ)器和 本模型機(jī)設(shè)計(jì)的微程序流程圖如圖 控制臺(tái)按作 運(yùn)行 圖6. 2基本模型機(jī)微程序流程圖 輸入輸出設(shè)備之間的數(shù)據(jù)傳送, 由于存儲(chǔ)器和I/O設(shè)備使用同一根片選線IO/M,任何一個(gè)時(shí)刻二者只能選擇 其一,還有存儲(chǔ)器、輸入設(shè)備、輸出設(shè)備都使用相同的讀線RD和相同的寫線WE所以,存儲(chǔ)器和I/O設(shè)備 及I/O設(shè)備之間不能直接傳送數(shù)據(jù),必須通過 CPU中的運(yùn)算器或寄存器屮轉(zhuǎn)一次。 為了調(diào)試方便且不聯(lián)PC機(jī)的情況下能手動(dòng)向內(nèi)存寫入程序和數(shù)據(jù),檢查內(nèi)存中的指令及數(shù)據(jù)是否正確 及啟動(dòng)程序執(zhí)行,設(shè)計(jì)了三條控制臺(tái)指令,由 SWB、SWA狀態(tài)控制: 存儲(chǔ)器讀操作(PRD):將控制臺(tái)開關(guān)SWB、SWA

59、置為“ 01”狀態(tài),總清開關(guān)CLR清零后,時(shí)序在單步狀態(tài)連續(xù) 按動(dòng)啟動(dòng)鍵START,就可以對存儲(chǔ)器的00單元起連續(xù)的讀出。 存儲(chǔ)器寫操作(PWE):將控制臺(tái)開關(guān)SWB、SWA置為“ 00”狀態(tài),總清開關(guān)CLR清零后,時(shí)序在單步狀態(tài)連續(xù) 按動(dòng)啟動(dòng)鍵START,就可以對存儲(chǔ)器的00單元起連續(xù)的寫入。 啟動(dòng)程序(PRUN):將控制臺(tái)開關(guān)SWB、SWA置為“11”狀態(tài),總清開關(guān)CLR清零后,按動(dòng)啟動(dòng)鍵START,即可 將微地址轉(zhuǎn)入到“ 01”號單元“取指”微指令,啟動(dòng)程序運(yùn)行。上述兩控制開關(guān)SWB、SWA 的狀態(tài)設(shè)置定義如下表6. 1所示: 表6. 1控制臺(tái)指令功能定義 SWB SWA 控制臺(tái)定義 0

60、 0 存儲(chǔ)器寫操作(PWE) 0 1 存儲(chǔ)器讀操作(PRD) 1 1 啟動(dòng)程序(PRUN) 6. 2所示。 圖6. 2基本模型機(jī)微程序流程圖中,每一個(gè)方框代表一條微指令,方框屮的內(nèi)容指示出本條微指令要執(zhí) 行的微操作。方框右上腳數(shù)字為本條微指令的微地址。其屮 01H、02H為公共微操作,作為“取指”微指令。 01H單元(PC-AR, PC+1)為程序計(jì)數(shù)器PC的值賦給地址寄存器AR,然后PC加一。02H單元(RAM-IR)為將AR所 指的存儲(chǔ)器RAM單元中的指令碼拿出來賦給指令寄存器IR進(jìn)行指令譯碼。02H中的微指令包含 了 TWO測試字,它根據(jù)指令操作碼是用來確定不同的機(jī)器指令有各自不同的微程

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論