EDA多功能彩燈課程設(shè)計(jì)_第1頁
EDA多功能彩燈課程設(shè)計(jì)_第2頁
EDA多功能彩燈課程設(shè)計(jì)_第3頁
EDA多功能彩燈課程設(shè)計(jì)_第4頁
EDA多功能彩燈課程設(shè)計(jì)_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 目 錄目 錄1第一章 引 言21.1 eda技術(shù)及其發(fā)展21.2 eda技術(shù)的特點(diǎn)和優(yōu)勢(shì)21.3 eda技術(shù)的設(shè)計(jì)方法3第二章 彩燈電路控制設(shè)計(jì)32.1設(shè)計(jì)要求4第三章.各個(gè)模塊的設(shè)計(jì)53.1 四種分頻的分頻計(jì)5第四章 設(shè)計(jì)成果及其實(shí)驗(yàn)結(jié)果104.1實(shí)驗(yàn)電路框圖104.2彩燈整體原理圖114.3 實(shí)驗(yàn)結(jié)果11第五章 結(jié)束語11參考文獻(xiàn)12第一章 引 言 1.1 eda技術(shù)及其發(fā)展電子設(shè)計(jì)自動(dòng)化eda(electronic design automation)技術(shù)的發(fā)展和普及給數(shù)字系統(tǒng)的設(shè)計(jì)帶來了革命性的變化。它作為現(xiàn)代電子設(shè)計(jì)技術(shù)的核心,依賴于功能強(qiáng)大的計(jì)算機(jī),在eda工具軟件平臺(tái)上,對(duì)以硬

2、件描述語言hdl(hardware description language)為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)地完成邏輯化簡(jiǎn)、邏輯分割、邏輯綜合、結(jié)構(gòu)綜合(布局布線),以及邏輯優(yōu)化和仿真測(cè)試等項(xiàng)功能,直至實(shí)現(xiàn)既定性能的電子線路系統(tǒng)功能。eda技術(shù)在21世紀(jì)后,得到更大的發(fā)展,突出表現(xiàn)在以下幾個(gè)方面: 使電子設(shè)計(jì)成果以自主知識(shí)產(chǎn)權(quán)的方式得以明確表達(dá)和確認(rèn)成 為可能。在仿真驗(yàn)證和設(shè)計(jì)兩方面都支持標(biāo)準(zhǔn)硬件描述語言的功能強(qiáng)大的eda軟件不斷推出。電子技術(shù)全方位進(jìn)入eda時(shí)代,數(shù)字技術(shù)日益成熟,傳統(tǒng)電路系統(tǒng)設(shè)計(jì)建模理念也發(fā)生重大的變化:軟件無線電技術(shù)的崛起,模擬電路系統(tǒng)硬件描述語言的表達(dá)和設(shè)計(jì)的標(biāo)

3、準(zhǔn)化,系統(tǒng)可編程模擬器件的出現(xiàn),數(shù)字信號(hào)處理和圖像處理的全硬件實(shí)現(xiàn)方案的普遍接受,軟硬件技術(shù)和功能及其結(jié)構(gòu)的進(jìn)一步融合等。eda使得電子技術(shù)領(lǐng)域各學(xué)科的界限更加模糊,更加互為包容,如模擬與數(shù)字、軟件與硬件、asic與fpga等。軟硬件ip核在電子行業(yè)的產(chǎn)業(yè)領(lǐng)域、技術(shù)領(lǐng)域和設(shè)計(jì)應(yīng)用領(lǐng) 域得到進(jìn)一步確認(rèn)和廣泛的應(yīng)用。 1.2 eda技術(shù)的特點(diǎn)和優(yōu)勢(shì) eda技術(shù)的基本特征是采用高級(jí)語言描述,具有系統(tǒng)級(jí)仿真和綜合能力。它采用“自頂向下”的設(shè)計(jì)方法,與傳統(tǒng)的基于標(biāo)準(zhǔn)邏輯器件的“自底向上”數(shù)字系統(tǒng)設(shè)計(jì)方法相比,eda技術(shù)具有以下特點(diǎn):用軟件的方式設(shè)計(jì)硬件。從設(shè)計(jì)輸入到下載配置前的整個(gè)過程幾乎不涉及硬件,通

4、過軟件方式修改硬件設(shè)計(jì)。設(shè)計(jì)全程,包括電路系統(tǒng)描述、硬件設(shè)計(jì)、仿真測(cè)試、綜合、調(diào)試、軟件設(shè)計(jì),直至硬件系統(tǒng)都由計(jì)算機(jī)完成。 目標(biāo)系統(tǒng)可現(xiàn)場(chǎng)編程,在線升級(jí);集成度更高,可構(gòu)建片上系統(tǒng)。 對(duì)設(shè)計(jì)人員的硬件知識(shí)要求低,通過eda工具,即使設(shè)計(jì)人員不熟悉各種半導(dǎo)體工藝,也能完成電子系統(tǒng)的設(shè)計(jì)。它基于芯片,大量使用大規(guī)模可編程邏輯器件,以縮短產(chǎn)品的上市時(shí)間,提高產(chǎn)品性能、縮小產(chǎn)品體積、降低產(chǎn)品消耗;它廣泛運(yùn)用現(xiàn)代計(jì)算機(jī)技術(shù),提高電子設(shè)計(jì)自動(dòng)化程度,縮短開發(fā)周期,提高品競(jìng)爭(zhēng)力。閃爍的彩燈在娛樂場(chǎng)所、餐飲等日常生活環(huán)境中應(yīng)用廣泛,彩燈的亮、滅具有一定的周期和規(guī)律,采用eda技術(shù)容易設(shè)計(jì)和修改其控制電路。1.

5、3 eda技術(shù)的設(shè)計(jì)方法 eda技術(shù)是將傳統(tǒng)的搭積木式設(shè)計(jì)模式變?yōu)樽皂敹碌脑O(shè)計(jì)模式,設(shè)計(jì)人員通過計(jì)算機(jī)和eda開發(fā)工具即可設(shè)計(jì)和開發(fā)出各種功能電路。eda技術(shù)從系統(tǒng)總體出發(fā),自上而下地逐步細(xì)化設(shè)計(jì)內(nèi)容,最后完成系統(tǒng)設(shè)計(jì)。它包括下面幾個(gè)設(shè)計(jì)步驟: 1.設(shè)計(jì)準(zhǔn)備。依據(jù)設(shè)計(jì)要求,選取合適的設(shè)計(jì)方案和器件類型,對(duì)系統(tǒng)功能細(xì)化,合理劃分功能模塊,畫出功能框圖。 2.設(shè)計(jì)輸入。選取原理圖、硬件描述語言等進(jìn)行設(shè)計(jì)輸入。 3.功能仿真。通過建立波形文件和測(cè)試向量,在編譯前對(duì)設(shè)計(jì)的電路進(jìn)行邏輯功能驗(yàn)證,此時(shí)的仿真沒有考慮延時(shí)信息。 4.設(shè)計(jì)處理。編譯軟件將對(duì)設(shè)計(jì)輸入文件進(jìn)行邏輯化簡(jiǎn)、綜合優(yōu)化、適配和分割、布局

6、布線,最后產(chǎn)生編程文件。 5.時(shí)序仿真??紤]延時(shí)信息,分析系統(tǒng)和各模塊時(shí)序關(guān)系,估計(jì)設(shè)計(jì)的性能,檢查和消除競(jìng)爭(zhēng)冒險(xiǎn)。 6.器件編程測(cè)試。將仿真成功后適配器產(chǎn)生的配置文件通過編程器或下載電纜寫入目標(biāo)芯片,并對(duì)硬件系統(tǒng)進(jìn)行檢查、測(cè)試。第二章 彩燈電路控制設(shè)計(jì)2.1設(shè)計(jì)要求 基于eda技術(shù),利用alter公司的可編程器件ep1k30tc144-3和maxplusii軟件平臺(tái),設(shè)計(jì)一個(gè)多功能彩燈控制電路,控制16個(gè)彩燈周期性地自動(dòng)改變顯示模式并且能夠改變顯示頻率。這里設(shè)用發(fā)光二極管模擬彩燈,用兩個(gè)按鍵來控制頻率選擇和亮燈花樣選擇。顯示頻率分設(shè)為時(shí)鐘信號(hào)的頻率的八分頻、四分頻、二分頻和其本身頻率。彩燈設(shè)

7、為四組閃爍形式,具體如下:第一組燈亮默認(rèn)為從led0到led15依次單獨(dú)循環(huán)燈亮;第二組燈亮情況依次為全亮,led0到led7這8個(gè)彩燈亮,led0到led3這4個(gè)彩燈亮,led0到led1這2個(gè)彩燈亮,全不亮,led0到led1這2個(gè)彩燈亮,led0到led3這4個(gè)彩燈亮,led0到led7這8個(gè)彩燈亮,led0到led9這10個(gè)彩燈亮,led0到led11這12個(gè)彩燈亮,led0到led13這14個(gè)彩燈亮,全亮,led6到led9這4個(gè)彩燈亮,led5到led10這6個(gè)彩燈亮,led4到led11這8個(gè)彩燈亮,led3到led12這10個(gè)彩燈亮.第三組燈亮情況依次為:led0和led15亮

8、,led0、led1和led15、led14亮,led0、led1、led2和led15、led14、led13亮,led0、led1、led2、led3和led15、led14、led13、led12亮,led0、led1、led2、led3、led4和led15、led14、led13、led12、led11亮,led0、led1、led2、led3、led4、led5和led15、led14、led13、led12、led11、led10亮,led0、led1、led2、led3、led4、led5、led6和led15、led14、led13、led12、led11、led10、led

9、9亮,全亮,led0、led1、led2、led3、led4、led5、led6和led15、led14、led13、led12、led11、led10、led9亮,led0、led1、led2、led3、led4、led5和led15、led14、led13、led12、led11、led10亮,led0、led1、led2、led3、led4和led15、led14、led13、led12、led11亮,led0、led1、led2、led3和led15、led14、led13、led12亮,led0、led1、led2和led15、led14、led13亮,led0、led1和led15

10、、led14亮,led0和led15亮,led2、led3、led6、led7、led10、led11、led14、led15亮。第四組燈亮情況依次為:led1、led3、led5、led7、led9、led11、led13、led15亮,led0、led2、led4、led6、led8、led10、led12、led14亮,led0、led1、led4、led5、led8、led9、led12、led13亮,led2、led3、led6、led7、led10、led11、led14、led15亮,led1、led2、led3、led7、led8、led9、led13、led14、led15亮

11、,led4、led5、led6、led7、led12、led13、led14、led15亮,led0、led1、led2、led3、led8、led9、led10、led11亮,led15和led7不亮其它亮,led15、led14和led8、led7不亮其它亮,led15、led14、led13和led7、led6、led5不亮其它亮,led15、led14、led13、led12和led7、led6、led5、led4不亮其它亮。2.2設(shè)計(jì)思路 彩燈燈亮的的秩序可以通過在對(duì)時(shí)鐘脈沖計(jì)數(shù)控制,用十六進(jìn)制計(jì)數(shù)器循環(huán)計(jì)數(shù),來讓某一個(gè)燈在某個(gè)瞬間亮,然后再通過外部按鍵來控制選擇第幾組的燈亮情況,用

12、另一個(gè)按鍵來控制選擇燈閃爍的頻率快慢。用記數(shù)器的輸出依次訪問存儲(chǔ)器的各地址單元,將存儲(chǔ)的彩燈控制信息通過數(shù)據(jù)總線依次輸出,控制彩燈周期性有序變化。整個(gè)系統(tǒng)大體上分為三個(gè)部分,即可以實(shí)現(xiàn)四種分頻的頻率計(jì)、四選一多路選擇器、控制彩燈顯示的十六進(jìn)制計(jì)數(shù)器。多功能彩燈設(shè)計(jì)需要先把各個(gè)小部分用vhdl語言編寫,然后再畫原理圖調(diào)出各個(gè)部分,再連接好電路,編譯成功后,將各個(gè)管腳與實(shí)驗(yàn)箱上的對(duì)應(yīng)管腳鎖定,確認(rèn)無誤后,再將實(shí)驗(yàn)圖裝入實(shí)驗(yàn)箱,查看彩燈顯示結(jié)果。第三章.各個(gè)模塊的設(shè)計(jì)3.1 四種分頻的分頻計(jì)vhdl語言 library ieee;use ieee.std_logic_1164.all; use ie

13、ee.std_logic_unsigned.all;entity fenpin is port( clk :in std_logic; a,b,c,d:out std_logic);end; architecture bhv of fenpin is signal clk2,clk4,clk8:std_logic; begin u1: process(clk) begin if clkevent and clk=1 then clk2 = not clk2; end if; end process; -二分頻 u2: process(clk2) begin if clk2event and c

14、lk2=1 then clk4 = not clk4; end if; end process; -四分頻 u3: process(clk4) begin if clk4event and clk4=1 then clk8 = not clk8; end if; end process; -八分頻 a = clk8; b = clk4; c = clk2;d =clk; end bhv;時(shí)序仿真圖在時(shí)鐘上升沿的時(shí)候開始實(shí)現(xiàn)分頻,d是直接時(shí)鐘輸出,c是二 分頻輸出,b是四分頻輸出,a是八分頻輸出。 原理圖 外接時(shí)鐘信號(hào)輸入,四種頻率輸出,a端八分頻輸出,b端四分頻輸出,c端二分頻輸出,d端原信號(hào)

15、輸出。3.2四選一多路選擇器vhdl語言 library ieee;use ieee.std_logic_1164.all;entity mux41a is port (a,b,c,d:in std_logic; s:in std_logic_vector(3 downto 0);y:out std_logic );end entity mux41a; architecture bhv of mux41a isbegin process(a,b,c,d,s) begin if s=0000 then y = a;-控制信號(hào)輸入0,輸出狀態(tài)為aelsif s=0001 then y = b; -

16、控制信號(hào)輸入1,輸出狀態(tài)為belsif s=0010 then y = c;-控制信號(hào)輸入2,輸出狀態(tài)為c else y = d; -控制信號(hào)輸入其它,輸出狀態(tài)為dend if;end process;end architecture bhv;時(shí)序仿真圖當(dāng)s為“0000”時(shí),輸出狀態(tài)為a,當(dāng)s為“0001”時(shí),輸出狀態(tài)為b,當(dāng)s為“0010”時(shí),輸出狀態(tài)為c,當(dāng)s為其它時(shí),輸出狀態(tài)原理圖 通過s來選擇輸入信號(hào)的頻率,s接實(shí)驗(yàn)箱上的鍵1。 3.3 控制彩燈顯示花樣的綜合十六進(jìn)制計(jì)數(shù)器vhdl語言library ieee;use ieee.std_logic_1164.all;use ieee.s

17、td_logic_unsigned.all;entity cunt16 isport( clk: in std_logic;k2:in std_logic_vector(3 downto 0); led: out std_logic_vector(15 downto 0);end cunt16;architecture behav of cunt16 issignal led1:std_logic_vector(15 downto 0);signal led2:std_logic_vector(15 downto 0);signal led3:std_logic_vector(15 downt

18、o 0);signal led4:std_logic_vector(15 downto 0);begin process(k2) begin if k2=0000 then led=led1;elsif k2=0001 then led=led2;elsif k2=0010 then led=led3;elsif k2=0011 then led=led4;else null;end if;end process;-k2控制選擇彩燈顯示花樣process(clk) variable qq:std_logic_vector(3 downto 0); begin if clkevent and c

19、lk=1then if qqled1led1led1led1led1led1led1led1led1led1led1led1led1led1led1led1null;end case;end process;-第一種花樣process(clk) variable qq:std_logic_vector(3 downto 0); begin if clkevent and clk=1then if qqled2led2led2led2led2led2led2led2led2led2led2led2led2led2led2led2null;end case;end process;-第二種花樣pr

20、ocess(clk)variable qq:std_logic_vector(3 downto 0);beginif clkevent and clk=1then if qqled3led3led3led3led3led3led3led3led3led3led3led3led3led3led3led3null;end case;end process;-第三種花樣process(clk) variable qq:std_logic_vector(3 downto 0);begin if clkevent and clk=1then if qqled4led4led4led4led4led4le

21、d4led4led4led4led4led4led4led4led4led4null;end case;end process;-第四種花樣end behav;時(shí)序仿真圖 k2控制led的顯示,四種花樣。 原理圖k2外接鍵2,clk接四選一多路選擇器,led【15.0】直接輸出。 第四章 設(shè)計(jì)成果及其實(shí)驗(yàn)結(jié)果4.1實(shí)驗(yàn)電路框圖 鍵1控制彩燈顯示頻率,接到芯片管腳pio0-pio3。鍵2控制彩燈變換花樣接到芯片管腳pio7-pio4。d1到d16為顯示彩燈,接到芯片pin32-39。 4.2彩燈整體原理圖 將彩燈原理圖裝入實(shí)驗(yàn)箱前,鎖定管腳,外部時(shí)鐘信號(hào)最好與芯片126管腳鎖定,那樣將易于觀察彩燈變化快慢。輸入引腳s3.0(即鍵1)依次與該芯片的12、10、9、8管腳鎖定,k23.0(即鍵2)依次與芯片上的19、18、17、13管腳鎖定。彩燈led15.0依次與芯片上的29、28、27、26、23、22、21、20、86、83、82、81、80、79、78、73管腳鎖定。然后再將原理圖裝入實(shí)驗(yàn)箱,通過鍵1和鍵2進(jìn)行操作,觀察彩燈變化情形。4.3 實(shí)驗(yàn)結(jié)果 第五章 結(jié)束語 本文設(shè)計(jì)了一個(gè)多功能彩燈控制電路實(shí)現(xiàn)16路燈各種分頻輸出及四種花樣

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論