LED旋轉(zhuǎn)顯示器的設(shè)計_第1頁
LED旋轉(zhuǎn)顯示器的設(shè)計_第2頁
LED旋轉(zhuǎn)顯示器的設(shè)計_第3頁
LED旋轉(zhuǎn)顯示器的設(shè)計_第4頁
LED旋轉(zhuǎn)顯示器的設(shè)計_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、led旋轉(zhuǎn)顯示器的設(shè)計摘要基于視覺暫留原理,開發(fā)出了一種旋轉(zhuǎn)式led顯示屏。在穩(wěn)定旋轉(zhuǎn)地載體上安裝16個led發(fā)光器件,靜止時,各列發(fā)光管等間距分列排開,隨著掃描速度的加快,在計算機軟件精確的時序控制下,不斷掃描出預(yù)設(shè)的文字,圖案等。磁鋼用來完成同步的。當(dāng)霍爾傳感器旋轉(zhuǎn)到磁鋼處,感應(yīng)到它的存在就會恢復(fù)到最初狀態(tài),即使前一個過程沒有顯示完成。裝在電機上的電路始終在高速旋轉(zhuǎn),我們就無法使用通常的方法來給電機供電,但可以通過對220v電壓降壓來完成。交流電壓通過變壓器降為9v,再通過橋式整流和7806降為6v,供直流電機使用。采用時鐘芯片ds1302以及電池能準(zhǔn)確顯示時、分、秒。關(guān)鍵字:視覺暫留,直

2、流電機,橋式整流。目 錄摘要iabstractii目 錄iii引言11 系統(tǒng)硬件電路的設(shè)計11.1 系統(tǒng)硬件框圖11.2 主控單元21.3 驅(qū)動模塊51.4 霍爾傳感器模塊61.5 顯示模塊81.6 電源模塊1101.7 電源模塊2112 系統(tǒng)程序的設(shè)計122.1 程序的分步完成122.2 程序流程圖123 性能調(diào)試與分析133.1 程序的檢查與修改133.2 硬件的焊接與檢查14總結(jié)15參考文獻- 1 -致謝- 2 -附錄- 3 -附錄一 元器件清單- 3 -附錄二 硬件原理- 4 -附錄三 程序- 5 -引言目前,單片機技術(shù)如火如荼,蓬勃發(fā)展,其電子產(chǎn)品如雨后春筍地出現(xiàn),正潮水般的涌入各個

3、領(lǐng)域。其電子產(chǎn)品以靈敏、結(jié)構(gòu)簡單易制、成本低、可靠性強等優(yōu)點迅速占領(lǐng)電子市場,給人們生活帶來了極大的方便,深受人們的青睞。此次作品以宏晶科技stc89c52rc單片機為主控單元,還有ds1302時鐘芯片,利用視覺暫留效應(yīng),精確的顯示北京時間。論文共分為7個部分,主要內(nèi)容包括:系統(tǒng)硬件電路的設(shè)計、系統(tǒng)程序的設(shè)計、性能調(diào)試與分析、結(jié)束語、參考文獻、致謝、附錄。1 系統(tǒng)硬件電路的設(shè)計1.1 系統(tǒng)硬件框圖系統(tǒng)硬件框圖如圖1.1所示。6v電源ds1302時鐘芯片3.2v電源直流電機單片機stc89c52 4.5v電源led顯示 霍爾傳感器 圖1.1 系統(tǒng)硬件框圖1.2 主控單元單片機以其集成度高、功能強

4、、可靠性高、體積小、功耗低、價格廉、使用靈活等一系列優(yōu)點得到迅速的發(fā)展,滲透到我們生活的各個領(lǐng)域,幾乎很難找到哪個領(lǐng)域沒有單片機的蹤跡。導(dǎo)彈的導(dǎo)航裝置,飛機上各種儀表的控制,計算機的網(wǎng)絡(luò)通訊與數(shù)據(jù)傳輸,工業(yè)自動化過程的實時控制和數(shù)據(jù)處理,廣泛使用的各種智能ic卡,民用豪華轎車的安全保障系統(tǒng)等,這些都離不開單片機。此次設(shè)計主要采用宏晶科技的stc89c52rc單片機為主控單元。stc89c52rc的芯片管腳圖如圖1.2所示。 圖1.2 stc89c52rc的芯片管腳圖1 一個8位的微處理器;2 片內(nèi)數(shù)據(jù)存儲器ram,用以存放可以讀/寫的數(shù)據(jù),如運算的中間結(jié)果、最終結(jié)果以顯示的數(shù)據(jù)等;3 片內(nèi)程序

5、存儲器rom/eprom,用以存放程序、一些原始數(shù)據(jù)和表格;4 四個8位并行i/o接口p0p3,每個口可以用作輸入,也可以用作輸出;5 兩個(或三個)定時器/計數(shù)器,每個定時器/計數(shù)器都可以設(shè)置成計數(shù)方式,用以對外部事件進行計數(shù),也可以設(shè)置成定時方式,并可以根據(jù)計數(shù)或定時的結(jié)果實現(xiàn)計算機控制;6 五(或六)個中斷源的中斷控制系統(tǒng);7 一個全雙工uart接口(通用異步接收發(fā)送器)的串行i/o,用于實現(xiàn)單片機之間或單片機與微機之間的串行通信;8 片內(nèi)振蕩器和時鐘產(chǎn)生電路,但石英晶體和微調(diào)電容須要外接??梢钥闯鰏tc89c52rc系列單片機也是一款功能強大的單片機。特點:l 1.增強型6時鐘/機器周

6、期,12時鐘/機器周期8051cpu。l 2.工作電壓:5.5v-3.4v(5v單片機)/3.8v-2.0v(3v單片機)。l 3.工作頻率范圍:0-40mhz,相當(dāng)于普通8051的0-80mhz,實際工作頻率可達48mhz。l 4.用戶應(yīng)用程序空間4k/8k/13k/16k/20k/32k/64k字節(jié)。l 5.片上集成1280字節(jié)/512字節(jié)ram。l 6.通用i/o口(32/36個),復(fù)位后為:p1/p2/p3/p4是準(zhǔn)雙向口/弱上拉,p0口是開路輸出,作為總線擴展用時,不加上拉電阻,作為i/o口用時,需加上拉電阻。l 7.isp(在系統(tǒng)可編程)/iap(在應(yīng)用可編程),無需專用編程器/仿

7、真器,可通過串口(p3.0/p3.1)直接下載用戶程序,8k程序3秒即可完成一片。l 8.eeprom功能。l 9.看門狗。l 10.內(nèi)部集成max810專用復(fù)位電路(d版才有),外部晶體20m以下時,可省外部復(fù)位電路。l 11.共3個16位定時器/計數(shù)器,其中定時器0還可以當(dāng)成2個8位定時器使用。l 12.外部中斷4路:下降沿中斷或低電平觸發(fā)中斷,power down模式可由外部中斷低電平觸發(fā)中斷方式喚醒。l 13.通用異步串行口(uart),還可用定時器軟件實現(xiàn)多個uart。l 14.封裝:lqfp-44,pdip-40,plcc-44,pqfp-44。引腳功能說明:vcc電源電壓gnd地

8、p0口p0口是一組8位漏極開路型雙向i/o口,也即地址/數(shù)據(jù)總線復(fù)用口。作為輸出口用時,每位能吸收電流的方式驅(qū)動8個ttl邏輯門電路,對端口p0寫“1”時,可作為高阻抗輸入端用。在訪問外部數(shù)據(jù)存儲器或程序存儲器時,這組口線分時轉(zhuǎn)換地址(低8位)和數(shù)據(jù)總線復(fù)用,在訪問期間激活內(nèi)部上拉電阻。在flash編程時,p0口接收指令字節(jié),而在程序校驗時,輸出指令字節(jié),校驗時,要求外接“上拉電阻”。p1口p1口是一個內(nèi)部帶上拉電阻的8位雙向i/o口,p1的輸出緩沖級可驅(qū)動(吸收或輸出電流)4個ttl邏輯門電路。對端口寫“1”,通過內(nèi)部的上拉電阻把端口拉到高電平,此時可作輸出口。作輸入口使用時,因為內(nèi)部存在上

9、拉電阻,某個引腳被外部信號拉低時會輸出一個電流。p1.0和p1.1的第二功能:p1.0 t2(定時/計數(shù)器2外部計數(shù)脈沖輸入),時鐘輸出p1.1 t2ex(定時/計數(shù)2捕獲/重裝載觸發(fā)和方向控制)p2口p2是一個內(nèi)部帶上拉電阻的8位雙向i/o口,p2的輸出緩沖級可驅(qū)動(吸收或輸出電流)4個ttl邏輯門電路。對端口p2寫“1”,通過內(nèi)部的上拉電阻把端口拉到高電平,此時可作輸入口,作輸入口使用時,因為內(nèi)部存在上拉電阻,某個引腳被外部信號拉低時會輸出一個電流。在訪問外部程序存儲器或16位地址的外部數(shù)據(jù)存儲器(例如執(zhí)行movxdptr指令)時,p2口送出高8位地址數(shù)據(jù)。在訪問8位地址的外部數(shù)據(jù)存儲器(

10、如執(zhí)行movxri指令)時,p2口輸出p2鎖存器的內(nèi)容。flash編程或校驗時,p2亦接收高位地址和一些控制信號。p3口p3口是一組帶有內(nèi)部上拉電阻的8位雙向i/o口。p3口輸出緩沖級可驅(qū)動(吸收或輸出電流)4個ttl邏輯門電路。對p3口寫入“1”時,它們被內(nèi)部上拉電阻拉高并可作為輸入端口。此時,被外部拉低的p3口將用上拉電阻輸出電流。p3口除了作為一般的i/o口線外,更重要的用途是它的第二功能,如下所示:p3.0 rxd(串行輸入口) p3.1 txd(串行輸出口) p3.2 into(外中斷0)p3.3 int1(外中斷1)p3.4 to(定時/計數(shù)器0)p3.5 t1(定時/計數(shù)器1)p

11、3.6 wr(外部數(shù)據(jù)存儲器寫選通信號)p3.7 rd(外部數(shù)據(jù)存儲器讀選通信號)此外,p3口還接收一些用于flash閃存編程和程序校驗的控制信號。rst復(fù)位輸入。當(dāng)振蕩器工作時,rst引腳出現(xiàn)兩個機器周期以上高電平將是單片機復(fù)位。ale/prog當(dāng)訪問外部程序存儲器或數(shù)據(jù)存儲器時,ale(地址鎖存允許)輸出脈沖用于鎖存地址的低8位字節(jié)。一般情況下,ale仍以時鐘振蕩頻率的1/6輸出固定的脈沖信號,因此它可對外輸出時鐘或用于定時目的。要注意的是:每當(dāng)訪問外部數(shù)據(jù)存儲器時將跳過一個ale脈沖。對flash存儲器編程期間,該引腳還用于輸入編程脈沖(prog)。如有必要,可通過對特殊功能寄存器(sf

12、r)區(qū)中的8eh單元的d0位置位,可禁止ale操作。d0置位后,只有一條movx和movc指令才能將ale激活。此外,該引腳會被微弱拉高,單片機執(zhí)行外部程序時,應(yīng)設(shè)置ale禁止位無效。psen程序儲存允許(psen)輸出是外部程序存儲器的讀選通信號,當(dāng)stc89c52由外部程序存儲器取指令(或數(shù)據(jù))時,每個機器周期兩次psen有效,即輸出兩個脈沖,在此期間,當(dāng)訪問外部數(shù)據(jù)存儲器,將跳過兩次psen信號。ea/vpp外部訪問允許,欲使cpu訪問外部程序存儲器(地址0000h-ffffh),ea端必需保持低電平(接地)。需注意的是:如果加密位lb1被編程,復(fù)位時內(nèi)部會鎖存ea端狀態(tài)。如ea端為高電

13、平(接vcc端),cpu則執(zhí)行內(nèi)部程序存儲器的指令。 flash存儲器編程時,該引腳加上+12v的編程允許電源vpp,當(dāng)然這必須是該器件是使用12v編程電壓vpp。stc89c52rc/rd+系列單片機是stc mirco推出的新一代超強抗干擾/高速/低功耗的單片機,指令代碼完全兼容傳統(tǒng) 8051 單片機,12 時鐘/機器周期和6時鐘/機器周期可任意選擇,最新的d版本內(nèi)部集成max810專用復(fù)位電路。1.3 驅(qū)動模塊單片機的時鐘有一個11.0592mhz的晶振和兩個30pf的小電容阻成,它們決定了單片機的工作時間精度為1us。單片機內(nèi)各部件之間有條不紊地協(xié)調(diào)工作,其控制信號是在基本節(jié)拍的指揮下

14、按一定時間順序發(fā)出的,這些控制信號在時間上的相互關(guān)系就是cpu時序,而產(chǎn)生這種基本節(jié)拍的電路就是振蕩器和時鐘電路。stc89c52單片機內(nèi)部有一個用于構(gòu)成振蕩器的單級反相放大器。引腳xtal1為反相器輸入端,xtal2為反相器輸出端。當(dāng)在放大器兩個引腳上外接一個晶體(或陶瓷振蕩器)和電容組成的并聯(lián)諧振電路作為反饋元件時,夠成一個自激振蕩器。內(nèi)部振蕩器等效電路圖如圖1.3所示。圖1.3 內(nèi)部振蕩器等效電路圖此振蕩器由xtal1端向內(nèi)部時鐘電路提供一定頻率的時鐘源信號。另外振蕩器的工作還可以由軟件控制,當(dāng)對單片機內(nèi)電源控制寄存器pcon中的pd位置1時,可停止振蕩器的工作,使單片機進入省電工作狀態(tài)

15、,此振蕩器稱為內(nèi)部振蕩器。單片機也可以通過外部振蕩器向內(nèi)部時鐘電路輸入固定頻率的時鐘源信號。此時,外部信號接至xtal1端,輸入給內(nèi)部時鐘電路,而xtal2端浮空即可。片內(nèi)振蕩器頻率是由外接石英晶體的頻率決定的,其頻率值可在024mhz之間。當(dāng)頻率穩(wěn)定性要求不高時,可選用陶瓷振蕩器。片內(nèi)振蕩器對構(gòu)成并聯(lián)諧振電路的外接電容c1和c2要求并不嚴格。外接晶體時,c1和c2的典型值為30pf左右;外接陶瓷振蕩器時,c1和c2的典型值為47pf左右。而且在設(shè)計印刷電路板時,晶體(或陶瓷)振蕩器和電容應(yīng)盡可能安裝得靠近單片機,以減少寄生電容,保證振蕩器的穩(wěn)定性和可靠性。1.4 霍爾傳感器模塊霍爾傳感器處于

16、工作狀態(tài)時,輸出總是處于高電平狀態(tài),當(dāng)磁鋼n極接近傳感器正面的有效距離,輸出端變?yōu)榈碗娖健.?dāng)磁鋼撤離傳感器有效距離,輸出端又顯示低電平,從而產(chǎn)生下降邊沿,使單片機中斷口接收到下降沿,從而發(fā)出中斷,使得電路能夠同步。當(dāng)霍爾傳感器感應(yīng)到n極時會回到原始狀態(tài),達到復(fù)位的功能?;魻杺鞲衅魇且环N磁傳感器。它可以檢測磁場及其變化,可在各種與磁場有關(guān)的場合中使用?;魻杺鞲衅饕曰魻栃?yīng)為其工作基礎(chǔ),是由霍爾元件和它的附屬電路組成的集成傳感器?;魻杺鞲衅髟诠I(yè)生產(chǎn)、交通運輸和日常生活中有著廣泛的應(yīng)用。此次實驗中運用了ah3144單極性霍爾開關(guān)電路。 ah3144e、ah3144l是由電壓調(diào)整器,霍爾電壓發(fā)生器,

17、差分放大器,施密特觸發(fā)器和集電極開路的輸出級組成的磁敏感電路,其輸入是磁感應(yīng)強度,輸出是一個數(shù)字電壓訊號。它是一種單磁極工作的磁敏感電路,適合于矩形或者柱形磁體下的工作。ah3144l的工作溫度范圍為-40150,可用于汽車工業(yè)和軍事工程中。它們有兩種封裝形式:to-92ua和to-92t?;魻杺鞲衅鞴δ芊娇驁D如圖1.4所示。 圖1.4傳感器功能方框圖霍爾傳感器引腳解析圖如圖1.5所示。圖1.5引腳解析如圖1.6所示,其中bnp為工作點“開”的磁感應(yīng)強度,bnp為釋放點“關(guān)”的磁感應(yīng)強度。圖1.6 霍爾傳感器特性曲線當(dāng)外加的磁感應(yīng)強度超過動作點brp時,傳感器輸出為低電平,當(dāng)磁感應(yīng)強度超過動作

18、點brp時,傳感器輸出高電平,當(dāng)磁感應(yīng)強度降到動作點bnp以下時,傳感器輸出電平不變,一直要降到釋放點時,傳感器才由低電平躍變?yōu)楦唠娖健rp與bnp之間的滯后使開關(guān)動作更為可靠。另外還有一種“鎖鍵型”(或稱“鎖存型”)開關(guān)霍爾傳感器,其特性如圖1.7所示。圖1.7 鎖存型霍爾傳感器特性特點:電源電壓范圍寬。開關(guān)速度快,無瞬間抖動。工作頻率寬(dc100khz)。壽命長,體積小,安裝方便。能直接和晶體管及ttl、mos等邏輯電路接口。1.5 顯示模塊led顯示器具有功耗低,接口控制方便等優(yōu)點,而且模塊的接口信號和操作指令具有廣泛的兼容性,并能直接與單片機接口,可方便地實現(xiàn)各種不同的操作,在各類

19、測量及控制儀表中被廣泛的應(yīng)用。當(dāng)在led上顯示漢字時,應(yīng)先取得漢字的點陣構(gòu)成數(shù)據(jù),然后將其寫入顯示存儲器中進行顯示。旋轉(zhuǎn)led顯示器是一種通過同步控制發(fā)光二極位置和點亮狀態(tài)來實現(xiàn)圖文顯示的新型顯示器,其結(jié)構(gòu)新穎,成本低廉,可視角度達360。本設(shè)計采用16個并排發(fā)光二極管,利用人眼的“視覺暫留效應(yīng)”顯示文字及圖案。顯示模塊如圖1.8所示。圖 1.8 顯示模塊框圖由于采用時鐘芯片ds1302,可以準(zhǔn)確的顯示北京時間。ds1302是dallas公司推出的涓流充電時鐘芯片,內(nèi)含有一個實時時鐘/日歷和31字節(jié)靜態(tài)ram。通過簡單的串行接口與單片機進行通信:實時時鐘/ 日歷電路提供秒、分、時、日、日期、月

20、、的信息,每月的天數(shù)和閏年的天數(shù)可自動調(diào)整:時鐘操作可通過am/pm指示決定采用24或者12小時格式。ds1302與單片機之間能簡單地采用同步串行的方式進行通信,僅需用到三個口線:(1)res(復(fù)位);(2)i/o(數(shù)據(jù)線);(3)sclk(串行時鐘)。時鐘/ram的讀/寫數(shù)據(jù)以一個字節(jié)或多達31字節(jié)的字符組方式通信:ds1302工作時功耗很低,保持數(shù)據(jù)和時鐘信息時功率小于1mw。主要性能指標(biāo):實時時鐘具有能計算2100年之前的秒、分、時、日、日期、星期、月、年的能力,還有閏年調(diào)整的能力。318位暫存數(shù)據(jù)存儲ram。i/o串行口方式使得管腳數(shù)量最少。工作電壓范圍:2.05.5v。工作電流:2.

21、0v時,小于300ma。讀/寫時鐘或ram數(shù)據(jù)時,有兩種傳送方式:單字節(jié)傳送和多字節(jié)傳送(字符組方式)。8腳dip封裝或可選的8腳soic封裝(根據(jù)表面裝配)。簡單3線接口。與ttl兼容:(vcc=5v)??蛇x工業(yè)級溫度范圍:-40+85。與ds1202兼容。在ds1202基礎(chǔ)上增加的特性:對vcc1有可選的涓流充電能力。雙電源管用于主電源和備份電源供應(yīng)。備份電源管腳可由電池或大容量電容輸入。附加的7字節(jié)暫存存儲器。ds1302的管腳排列及描述如圖1.9所示。圖1.9 ds1302引腳圖ds1302引腳描述如表1.1所示。表1.1 管腳描述引腳說明x1,x232.768khz晶振管腳gnd地r

22、st復(fù)位腳i/o數(shù)據(jù)輸入/輸出引腳sclk串行時鐘vcc1,vcc2電源供電管腳1.6 電源模塊1任何電路都離不開電源部分,單片機也不例外,而且我們應(yīng)該高度重視電源部分,不能因為電源部分電路比較簡單而有所忽略,其實有將近一半的故障或制作失敗都和電源有關(guān),電源部分做好才能保證電路的正常工作。3節(jié)1.5v電池是最好的,電池輸出的電壓是最干凈的,不會有任何干擾波動。上電復(fù)位:保障上電時能準(zhǔn)確地啟動系統(tǒng)。掉電復(fù)位:當(dāng)電源失效或電壓降到某一電壓值以下時,復(fù)位系統(tǒng)自動保存數(shù)據(jù)。除上電復(fù)位和掉電復(fù)位外,很多監(jiān)控電路集成了系統(tǒng)所需的功能。電源測控:供電電壓出現(xiàn)異常時提供預(yù)警指示或中斷請求信號,方便系統(tǒng)實現(xiàn)異常

23、處理。數(shù)據(jù)保護:當(dāng)電源或系統(tǒng)工作異常時,對數(shù)據(jù)進行必要的保護,如保護數(shù)據(jù)備份或切換后備電池。1.7 電源模塊2電網(wǎng)提供的交流電源經(jīng)過整流、濾波,可得到直流電壓,但此電壓仍然存在波紋。同時,由于交流電網(wǎng)電壓的波動,負載的變化和溫度的影響等,使輸出電壓紋波會更大,即輸出電流電壓不穩(wěn)定。為了得到穩(wěn)定的輸出電壓,在濾波電路與負載之間常常加入穩(wěn)壓電路,以使負載得穩(wěn)定的輸出電壓。通過上面的分析可知,直流穩(wěn)壓電源主要由變壓器、整流電路、濾波電路和穩(wěn)壓電路四大部分組成。直流穩(wěn)壓電路組成框圖如圖1.10所示。圖1.10 直流穩(wěn)壓電源的組成框圖橋式整流濾波電路如圖1.11所示。圖1.11 橋式整流濾波電路220v

24、交流電壓經(jīng)過變壓器輸出為9v交流電壓,再經(jīng)過橋式整流成為單向脈沖電壓,再經(jīng)過濾波電路成為比較平穩(wěn)的直流電壓,最后通過7806穩(wěn)壓成輸出穩(wěn)定的6v直流電。7806引腳圖如圖1.12所示。圖1.12 7806引腳圖對于7806三端穩(wěn)壓ic,它和其它78xx一樣,都屬于+v電壓穩(wěn)壓輸出鏈路。其1腳為輸入端,2腳接地,3腳穩(wěn)壓輸出。7806是正電壓三端固定穩(wěn)壓器集成電路,屬于線性穩(wěn)壓器件。7806應(yīng)用非常廣泛,在各種穩(wěn)壓電源、充電器、家電等產(chǎn)品中均有運用。主要參數(shù):最高輸入電壓:3.5v輸入輸出最小的電壓差:2v最大輸出電流:1.5a。輸出電壓偏差:典型=6v;最低=5.75v;最高=6.25v。工作

25、溫度范圍:070 。2 系統(tǒng)程序的設(shè)計2.1 程序的分步完成1.對于程序的編寫有些茫然,所以上網(wǎng)搜了些資料經(jīng)過仔細研究后大致知道程序可以分為幾個模塊,對于以后的編寫有了一定的啟發(fā)。2.編寫了程序顯示了一個字,觀察二極管是否能有規(guī)律的閃爍,從而確定硬件電路的準(zhǔn)確性。3.編寫程序測試一次最多能顯示多少字,以及測試霍爾傳感器的轉(zhuǎn)速。4.對一些基本性能了解后開始程序的編寫。2.2 程序流程圖首先對所要編寫的程序作一個系統(tǒng)的了解。程序流程圖如下圖2.1所示。等待初始化標(biāo)志位=0送碼表顯示標(biāo)志位置0yn 2.1 程序流圖3 性能調(diào)試與分析3.1 程序的檢查與修改一個工程的完成不可能一步達成,正如俗話說“不

26、積跬步,無以至千里”。所以整個程序的編寫也是一步一個腳印,最后攀登上勝利的高峰。程序是在keil51讓軟件上編寫的,它具有仿真、編譯及檢錯的功能。從一開始顯示的亂碼到一步一步的修改直至最后程序的出來我們付出了很多心血,終于皇天不負有心人。在編寫程序的時候遇到了兩個比較大的問題:一個是送數(shù),另一個是時間。1.直流電機的轉(zhuǎn)速決定了最多能顯示多少個字,而且只有在霍爾傳感器感應(yīng)到n極的時候才能送數(shù),否則就無效。2.這次設(shè)計對于時間的控制很嚴格,時間決定我們是否能正確的顯示漢字。因為是基于視覺暫留效應(yīng),如果延時太長就不能看清楚字,所以要控制顯示的字的數(shù)目。3.2 硬件的焊接與檢查1.晶振短路萬用板上插孔

27、之間有的已經(jīng)通過錫導(dǎo)通,在焊接時沒有用電烙鐵把錫挑掉,造成晶振短路,還好發(fā)現(xiàn)及時,并沒有造成嚴重后果。2.發(fā)光二極管共陰、共陽發(fā)生錯誤原理圖中二極管共陰連接。焊接完成后,芯片引腳出來的是低電平,二極管又共陰連接,導(dǎo)致二極管不亮,后來把二極管改成共陽的。3.p0口未接上拉電阻畫原理圖時沒有考慮周全,p0口未接上拉電阻,焊接完成后,編寫小程序時發(fā)光二極管不能正常顯示。 4.霍爾傳感器反接由于網(wǎng)上下載的資料錯誤,外加對霍爾傳感器知識的不扎實,導(dǎo)致霍爾傳感器反接。多次檢查之后,終于發(fā)現(xiàn)并改正了這個錯誤。5.發(fā)光二極管順序錯誤芯片上p0口從上到下順序為07,而p2口從上到下的順序為70,由于焊接時的疏忽

28、,只參照了原理圖上芯片的引腳排布,沒有注意到實際的,導(dǎo)致程序編寫進去后,顯示的是亂碼,不是正常的漢字。6.重新制作整個作品完成后,基本功能都已完成,唯一的缺點是顯示的字不好看。因為采用大的發(fā)光二極管,直徑太靠近轉(zhuǎn)軸,字體變形。電路布線合理且經(jīng)過調(diào)試了,如果把大的二極管換成小的會影響整個布線,這樣就比較麻煩了,為了追求更美觀、完美,所以決定重新焊一個。7.發(fā)光二極管亮度不夠重新焊接完成后,字形顯示改觀了,但又遇到一個新問題,發(fā)光二極管亮度不夠,只有在黑暗條件下才能看清。所以最后換成了貼片的,現(xiàn)在效果明顯好轉(zhuǎn),亮度均勻。總結(jié)09年10月我開始了我的畢業(yè)論文工作,時至今日,論文已基本完成。從最初的茫

29、然到慢慢進入狀態(tài),再到對思路逐漸的清晰,整個過程,難以用語言來表達。經(jīng)歷了幾個月的奮戰(zhàn),緊張而又充實的畢業(yè)設(shè)計終于落下了帷幕。回想這段日子的經(jīng)歷和感受,我感慨萬千,在這次畢業(yè)設(shè)計過程中,我擁有了無數(shù)難忘的回憶和收獲。當(dāng)接到課題時,我便立刻著手資料的收集工作,當(dāng)時面對浩瀚的書海真是有些茫然,不知如何下手,我將這一困難告訴了同組成員,在經(jīng)過多次討論之后,終于對工作方向和方法有了掌握。我把收集到的資料都記錄下來,這樣有利于論文的撰寫。在制作過程中遇到困難我就及時和導(dǎo)師聯(lián)系,并且和同學(xué)相互交流,在大家的幫助下,困難一個接一個解決了,作品也慢慢成型了,整個過程中我充分運用了大學(xué)期間所學(xué)到的知識。腳踏實地

30、,認真嚴謹,實事求是的學(xué)習(xí)態(tài)度,不怕困難,堅持不懈,吃苦耐勞的精神是我在這次設(shè)計中最大的收益,我想這是一次意志的磨練是對我實際能力的一次提升,會對我未來的學(xué)習(xí)和工作有很大的幫助。通過畢業(yè)設(shè)計,我深刻體會到要做好一件完整的事情,需要系統(tǒng)的思維方式和方法,對待要解決的問題,要耐心、要善于運用已有的資源來充實自己。同時我也深刻的認識到,在對待一個新事物時,一定要從整體考慮,完成一步以后再做下一步,這樣才能更有效。參考文獻1 劉迎春,王磊.c語言程序設(shè)計.西安電子科技大學(xué)出版社,2008.08.2 楊帆,秦會斌.傳感器技術(shù).西安電子科技大學(xué)出版社,2008.09.3 清源計算機工作室.protel 9

31、9 se 原理圖與pcb及仿真.機械工程出版社,2004.01.4 陳衛(wèi)兵,宋健娟.單片機原理及應(yīng)用.西安科技大學(xué)出版社,2008.07.5 周興華.手把手教你學(xué)單片機c語言程序設(shè)計.北京航天航空大學(xué)出版社,2007.08.6 楊碧石,何其貴.模擬電子技術(shù)基礎(chǔ).北京航天航空大學(xué)出版社,2006.01.致謝忙碌了幾個月畢業(yè)設(shè)計終于接近尾聲了,大學(xué)生活也即將結(jié)束了。逝者如斯,不舍晝夜,兩次春去春又來,歲月稍縱即逝。此時,回頭看看這段短暫的求學(xué)路,時而喜悅,時而惆悵。感謝命運的安排,讓我有幸結(jié)識了許多良師益友,是他們教我如何品味人生,讓我懂得如何更好的生活!人生處處是驛站,已是揮手作別之時,在此,向

32、所有幫助過我的人獻上最誠摯的謝意?!帮嬈淞鲿r思其源,成吾學(xué)時念吾師?!敝链苏撐耐瓿芍H,謹向我尊敬的導(dǎo)師嚴飛致以誠摯的謝意和崇高的敬意。感謝您從本設(shè)計開始一路指導(dǎo)至論文的完成,正是因為您思路清晰、反應(yīng)敏捷,學(xué)術(shù)態(tài)度清晰,才使我的畢業(yè)論文有了極大的寫作空間。您的悉心點播,耐心指導(dǎo),常讓我有“山窮水盡疑無路,柳暗花明又一村”的感覺?!昂萎?dāng)共剪西窗燭,卻話巴山夜雨時?!贝髮W(xué)三年期間與我朝夕相處的同學(xué)是我最寶貴的財富,感謝室友的真摯友情,我想對你們說:“認識你們很高興!”生活中有了你們的陪伴而更顯豐富,有了你們的幫助而倍感輕松,有了你們的支持而深受鼓舞感謝所有的同學(xué),感謝所有的朋友,很幸運能夠認識你們

33、,但愿我們的友情長久,祝福我們的未來更美好!“可憐天下父母心,”在我告別學(xué)習(xí)生涯之時,請允許我向我最愛的家人表示誠摯的謝意,想到他們,我總是感到溫暖而安詳。感謝我的爸爸、媽媽,正因為有你們的支持和鼓勵,才有了今天的我。你們的哺育之恩,愛護之情讓我永生難忘。在我成功的時候,你們的笑容散發(fā)著幸福、滿足和榮耀的光芒,照亮了我的前程;在我失敗的時候,你們的眼神透露出理解、包容和鼓勵的信息,讓我意氣風(fēng)發(fā),鼓足了勇氣!感謝所有關(guān)心我,愛護我的親人,祝福你們身體健康,萬事如意!最后感謝和我一組的成員,感謝他們對畢業(yè)設(shè)計的貢獻,也感謝對我的支持,給我了很大的幫助,在我迷茫時在一旁悉心指導(dǎo)我,耐心的講解直至我明

34、白。正是在這樣一個團結(jié)友愛,相互促進的環(huán)境中,才有了今天我們的小收獲,才使我時刻充滿信心和勇氣,克服路上的種種困難和障礙,順利的完成大學(xué)的學(xué)習(xí)!“天下無不散的筵席”雖不舍說“再見”,再見的時刻卻以來臨,無人能阻止時間前進的腳步,唯有將淚低于心頭,將記憶封于腦海之中。別了,美麗的青春校園,你是我避風(fēng)的港灣,是我成長的搖籃;別了,親愛的良師益友,你們是我今世的知音,伴我成長,是甘甜雨露,滋潤我心田。附錄附錄一 元器件清單元件名稱型號(大?。?shù)量電阻20016個電阻1k1個電阻2k1個電阻10k1個排阻1個瓷片電容30pf2個瓷片電容1042個電解電容10uf1個電解電容1000uf1個電解電容47

35、0uf1個發(fā)光二極管(貼片)led16個二極管(npn)in40074個穩(wěn)壓管78061個霍爾傳感器(開關(guān))ah31441個單片機stc89c52rc1個時鐘芯片ds13021個普通開關(guān)2個復(fù)位開關(guān)1個晶振12mhz1個晶振32.768khz1個萬用板1塊導(dǎo)線若干變壓器1個插頭1根杜邦頭若干杜邦線若干芯片底座1個插針若干干電池底座1個干電池15v3節(jié)電池3v1個電池底座1個散熱片1個直流電機6v1個附錄二 硬件原理附錄三 程序#include#includetime.h#define uchar unsigned char#define uint unsigned intuchar a,b,c

36、,g,e,f;uchar code shu1032=0x00,0x00,0x00,0x00,0x00,0xfc,0x02,0x02,0x02,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02,0x02,0x02,0x01,0x00,0x00,0x00,0x00,0x00,0x00,/00x00,0x00,0x00,0x00,0x00,0x00,0x04,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x

37、02,0x03,0x02,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/10x00,0x00,0x00,0x00,0x00,0x0c,0x82,0x42,0x22,0x1c,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0x02,0x02,0x02,0x02,0x00,0x00,0x00,0x00,0x00,0x00,/20x00,0x00,0x00,0x00,0x00,0x0c,0x02,0x22,0x22,0x52,0x8c,0x00,0x00,0x00,0x00,0x00,0x00,0x0

38、0,0x00,0x00,0x00,0x03,0x04,0x04,0x04,0x04,0x03,0x00,0x00,0x00,0x00,0x00,/30x00,0x00,0x00,0x00,0x00,0xe0,0x90,0x88,0xfe,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x04,0x07,0x04,0x04,0x00,0x00,0x00,0x00,0x00,/40x00,0x00,0x00,0x00,0x00,0x3e,0x22,0x12,0x12,0x12,0xe2,0x00,0x00

39、,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0x04,0x04,0x04,0x04,0x03,0x00,0x00,0x00,0x00,0x00,/50x00,0x00,0x00,0x00,0x00,0x00,0xf8,0x44,0x22,0x22,0x22,0xc4,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0x04,0x04,0x04,0x04,0x03,0x00,0x00,0x00,0x00,/60x00,0x00,0x00,0x00,0x00,0x00,0x0e,0x02,

40、0xc2,0x32,0x0e,0x02,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x07,0x00,0x00,0x00,0x00,0x00,0x00,0x00, /70x00,0x00,0x00,0x00,0x00,0x98,0x64,0x44,0x44,0x64,0x98,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0x04,0x04,0x04,0x04,0x03,0x00,0x00,0x00,0x00,0x00, /80x00,0x00,0x00

41、,0x00,0x00,0x78,0x84,0x84,0x84,0x44,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x02,0x04,0x04,0x04,0x02,0x01,0x00,0x00,0x00,0x00,0x00; /9uchar code zi= 0x00,0x00,0x10,0x10,0x10,0xfe,0x00,0x00,0xfe,0x40,0x20,0x10,0x08,0x00,0x00,0x00, 0x00,0x00,0x02,0x02,0x7a,0xca,0x4a,0xcb,0x4a,0xca,0x7a,

42、0x02,0x02,0x00,0x00,0x00 0x00,0x00,0x00,0xfc,0x44,0x44,0xfc,0x08,0x28,0x48,0x08,0xfe,0x08,0x08,0x00,0x00, 0x00,0x00,0x00,0xf8,0x02,0x04,0xf0,0x52,0x52,0x52,0xf2,0x02,0xfe,0x00,0x00,0x00, 0x00,0x00,0x02,0x02,0x02,0x0f,0x00,0x00,0x0f,0x08,0x08,0x08,0x0f,0x00,0x00,0x00, 0x00,0x00,0x04,0x02,0x01,0x04,0x

43、04,0x07,0x00,0x00,0x01,0x02,0x04,0x00,0x00,0x00, 0x00,0x00,0x00,0x03,0x02,0x02,0x03,0x00,0x00,0x02,0x04,0x07,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x0f,0x00,0x00,0x03,0x02,0x02,0x02,0x03,0x08,0x0f,0x00,0x00,0x00;uchar code shi= 0x00,0x00,0x00,0xfc,0x44,0x44,0xfc,0x08,0x28,0x48,0x08,0xfe,0x08,0x08,0x00

44、,0x00, 0x00,0x00,0x00,0x03,0x02,0x02,0x03,0x00,0x00,0x02,0x04,0x07,0x00,0x00,0x00,0x00;uchar code fen=0x00,0x00,0x20,0x10,0x2c,0x23,0xe0,0x20,0x20,0x23,0xec,0x10,0x20,0x00,0x00,0x00, 0x00,0x00,0x00,0x04,0x02,0x01,0x00,0x00,0x02,0x04,0x03,0x00,0x00,0x00,0x00,0x00;uchar code miao=0x00,0x00,0x80,0x4a,0

45、x2a,0xff,0x29,0x48,0xbc,0x00,0x7f,0x00,0xc4,0x18,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x07,0x00,0x00,0x04,0x04,0x02,0x01,0x00,0x00,0x00,0x00;bit s;uchar dat,m=0;void delay(void) /誤差 -0.868055555556us unsigned char a,b; for(b=1;b0;b-) for(a=227;a0;a-);void init(void) s=0; ea = 1; ex0 = 1;it0=1;void zh

46、ongduan(void) interrupt 0 s=1;void main(void)init();ds1302_write_time();while(1)p0=0xff;p1=0xff;ds1302_read_time();a= time_buf16;b= time_buf17;c= time_buf18;g= time_buf19;e= time_buf110;f= time_buf111;while(s=1)uchar j=64;uchar d,h;for(h=0;h64;h+)p0=zih;p1=zij;j+;delay();j=16;for(d=0;d16;d+)p0=shuad

47、;p1=shuaj;delay();j+;j=16;for(d=0;d16;d+)p0=shubd;p1=shubj;delay();j+;j=16;for(d=0;d16;d+)p0=shid;p1=shij;j+;delay();j=16; for(d=0;d16;d+)p0=shucd;p1=shucj;delay();j+;j=16;for(d=0;d16;d+)p0=shugd;p1=shugj;delay();j+;j=16;for(d=0;d16;d+)p0=fend;p1=fenj;j+;delay();j=16; for(d=0;d16;d+)p0=shued;p1=shue

48、j;delay();j+;j=16;for(d=0;d16;d+)p0=shufd;p1=shufj;delay();j+;j=16;for(d=0;d16;d+)p0=miaod;p1=miaoj;j+;delay();s=0;#include #includesbit sck=p20;sbit sda=p21;sbit rst=p22;/*復(fù)位腳*/#define rst_clrrst=0/*電平置低*/#define rst_setrst=1/*電平置高*/*雙向數(shù)據(jù)*/#define io_clrsda=0/*電平置低*/#define io_setsda=1/*電平置高*/#defi

49、ne io_rsda/*電平讀取*/*時鐘信號*/#define sck_clrsck=0/*時鐘信號*/#define sck_setsck=1/*電平置高*/#define ds1302_sec_add0x80/秒數(shù)據(jù)地址#define ds1302_min_add0x82/分數(shù)據(jù)地址#define ds1302_hr_add0x84/時數(shù)據(jù)地址#define ds1302_date_add0x86/日數(shù)據(jù)地址#define ds1302_month_add0x88/月數(shù)據(jù)地址#define ds1302_day_add0x8a/星期數(shù)據(jù)地址#define ds1302_year_add0x8c/年數(shù)據(jù)地址#define ds1302_control_add0x8e/控制數(shù)據(jù)地址#define ds1302_charger_add0x90 #define ds1302_clkburst_add0xbeunsigned char time_buf116 = 0,9,1,1,1,5,1,8,1,5,0,0,0,3;/空年月日時分秒周unsigned char time_buf8 ;/空年月日時分秒周/*向ds1302寫入一字節(jié)數(shù)據(jù)*/void ds1302_write_byte(unsigned char addr, unsigned char d) uns

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論