《數(shù)字系統(tǒng)仿真與VHDL設(shè)計(jì)》課程設(shè)計(jì)報(bào)告交通燈_第1頁
《數(shù)字系統(tǒng)仿真與VHDL設(shè)計(jì)》課程設(shè)計(jì)報(bào)告交通燈_第2頁
《數(shù)字系統(tǒng)仿真與VHDL設(shè)計(jì)》課程設(shè)計(jì)報(bào)告交通燈_第3頁
《數(shù)字系統(tǒng)仿真與VHDL設(shè)計(jì)》課程設(shè)計(jì)報(bào)告交通燈_第4頁
《數(shù)字系統(tǒng)仿真與VHDL設(shè)計(jì)》課程設(shè)計(jì)報(bào)告交通燈_第5頁
已閱讀5頁,還剩12頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、湖南科技大學(xué)信息與電氣工程學(xué)院數(shù)字系統(tǒng)仿真與vhdl設(shè)計(jì)課程設(shè)計(jì)報(bào)告題 目: vhdl語言課程設(shè) 專 業(yè): 通信工程 班 級: 二班 姓 名: 學(xué) 號: 7 課程設(shè)計(jì)任務(wù)書題 目設(shè) 計(jì) 時(shí) 間設(shè) 計(jì) 目 的:設(shè) 計(jì) 要 求:總體方案實(shí)現(xiàn):指導(dǎo)教師評語:目 錄1、課程設(shè)計(jì)的目的。2、設(shè)計(jì)方案的論證。3、設(shè)計(jì)仿真及結(jié)果分析。4、設(shè)計(jì)及仿真中的體會(huì)。5、對本次課程設(shè)計(jì)的體會(huì)和建議。6、參考文獻(xiàn)(包括電路圖和元器件清單)1、課程設(shè)計(jì)的目的選題一:循環(huán)彩燈控制器設(shè)計(jì)一個(gè)循環(huán)彩燈控制器,該控制器控制紅,綠,黃三個(gè)發(fā)光管循環(huán)點(diǎn)亮。要求紅發(fā)光管亮3秒,綠發(fā)光管亮2秒,黃發(fā)光管亮1秒。選題二:交通燈控制器的程序

2、設(shè)計(jì)用于十字路口的交通燈控制器。要求:東西方向各有一組紅,黃,綠燈用于指揮交通,紅,黃,綠的持續(xù)時(shí)間分別為25s,5s,20s 。當(dāng)有緊急情況(如消防車)時(shí),兩個(gè)方向均為紅燈亮,計(jì)時(shí)停止,當(dāng)特殊情況結(jié)束后,控制器恢復(fù)初始狀態(tài),正常工作。選題三:多功能電子表設(shè)計(jì)一個(gè)含有數(shù)字鐘的多功能電子表。數(shù)字鐘以“秒”作為基準(zhǔn)信號,可顯示時(shí)、分、秒。2、設(shè)計(jì)方案的論證選題一:循環(huán)彩燈控制器要實(shí)現(xiàn)彩燈的循環(huán)控制需設(shè)計(jì)一個(gè)六進(jìn)制計(jì)數(shù)器count,該計(jì)數(shù)器以時(shí)鐘脈沖clk為輸入信號,上升沿觸發(fā)有效,采用vhdl硬件描述語言中的case語句實(shí)現(xiàn)控制彩燈的亮滅,設(shè)置一個(gè)輸出三位邏輯值output以表示彩燈亮滅的總體情況

3、,順序?yàn)榧t、綠、黃,邏輯1表示燈亮,邏輯0表示燈不亮。其真值表如下:countoutput彩燈000100紅燈亮001100紅燈亮010100紅燈亮011010綠燈亮100010綠燈亮101001黃燈亮設(shè)置輸入時(shí)鐘脈沖以1s為周期即可實(shí)現(xiàn)題設(shè)要求紅發(fā)光管亮3秒,綠發(fā)光管亮2秒,黃發(fā)光管亮1秒。為實(shí)現(xiàn)對彩燈的控制,設(shè)置一個(gè)清零端clr和置位端reset,均為高電平有效。當(dāng)清零端clr為高電平時(shí),彩燈均不亮,當(dāng)置位端reset為高電平時(shí),彩燈從初始狀態(tài)開始重新循環(huán),直到清零端clr的下一個(gè)高電平脈沖使其清零。輸入端口為:clk(時(shí)鐘脈沖信號)、clr(清零端)、reset(置位端)輸出端口為:ou

4、tput(2 downto 0)選題二:交通燈控制器的程序設(shè)計(jì)要實(shí)現(xiàn)對交通燈的控制,原理和選題一大同小異,設(shè)計(jì)一個(gè)十進(jìn)制計(jì)數(shù)器count,該計(jì)數(shù)器以時(shí)鐘信號clk為輸入信號,上升沿觸發(fā)有效,采用vhdl硬件描述語言中的case語句實(shí)現(xiàn)控制彩燈的亮滅,東西兩個(gè)方向分別設(shè)置一個(gè)輸出三位邏輯值output1,output2以表示彩燈亮滅的總體情況,順序?yàn)榧t、綠、黃,邏輯1表示燈亮,邏輯0表示燈不亮。為操作方便,將output1,output2合并為一個(gè)六位邏輯值output表示,其真值表如下:countoutput東西0000100010紅燈亮綠燈亮0001100010紅燈亮綠燈亮001010001

5、0紅燈亮綠燈亮0011100010紅燈亮綠燈亮0100100001紅燈亮黃燈亮0101010100綠燈亮紅燈亮0110010100綠燈亮紅燈亮0111010100綠燈亮紅燈亮1000010100綠燈亮紅燈亮1001001100黃燈亮紅燈亮設(shè)置一個(gè)置位端set,高電平有效,當(dāng)有特殊情況發(fā)生時(shí),使set為高電平,此時(shí)兩個(gè)方向均為紅燈亮,當(dāng)set還原為低電平時(shí),即當(dāng)特殊情況結(jié)束后,控制器恢復(fù)初始狀態(tài),正常工作。輸入端口:clk(時(shí)鐘脈沖信號)、set(特殊情況控制信號)輸出端口:r_east,g_east,y_east,r_west,g_west,y_west(東西方向紅綠燈)選題三:多功能電子表設(shè)

6、置一個(gè)輸入端clk時(shí)鐘脈沖輸入信號,以hout,mout2,mout1,sout2,sout1分別表示時(shí)間的時(shí)、分、秒,從最低位s1(即秒的個(gè)位)開始計(jì)數(shù),s2,s1構(gòu)成一個(gè)六十進(jìn)制計(jì)數(shù)器,當(dāng)s1受時(shí)鐘脈沖觸發(fā)控制,當(dāng)s1計(jì)數(shù)至9時(shí),s2加1,s1從零開始重新計(jì)數(shù),以此類推,m2,m1構(gòu)成一個(gè)六十進(jìn)制計(jì)數(shù)器,以s2,s1一個(gè)計(jì)數(shù)周期為觸發(fā)。其中h,m2,m1,s2,s1均用四位二進(jìn)制數(shù)表示,當(dāng)完成一個(gè)整周期后從零開始重新循環(huán)。這樣就實(shí)現(xiàn)了電子表的計(jì)時(shí)功能。要利用六個(gè)七段數(shù)碼管實(shí)現(xiàn)電子表的顯示功能,用h2,h1,m2,m1,s2,s1分別表示實(shí)現(xiàn)顯示功能的數(shù)碼管,它們在程序中都是以七位二進(jìn)制邏輯

7、值表示,其表示方法與對應(yīng)的十進(jìn)制數(shù)值關(guān)系如下:十進(jìn)制數(shù)值二進(jìn)制數(shù)值七段數(shù)碼管表示000000111111100010000110200101011011300111001111401001100110501011101101601101111101701110000111810001111111910011101111輸入端口:clk(時(shí)鐘脈沖信號)輸出端口hout,mout2,mout1,sout2,sout1,h2,h1,m2,m1,s2,s13、設(shè)計(jì)仿真及結(jié)果分析選題一仿真波形如下:選題二仿真波形如下:選題三仿真波形如下:4、設(shè)計(jì)及仿真中的體會(huì)設(shè)計(jì)過程中調(diào)試的時(shí)候發(fā)現(xiàn)不能進(jìn)行調(diào)試,后來經(jīng)

8、過學(xué)習(xí)和查閱資料發(fā)現(xiàn)程序文件名的后綴應(yīng)為“.vhd”,并且文件名應(yīng)該與程序中命名 的實(shí)體名一致,否則都會(huì)造成程序段不能編譯調(diào)試成功,后來,把這些都改正之后發(fā)現(xiàn)程序還是調(diào)試不了,在確認(rèn)程序設(shè)計(jì)思想及邏輯都沒有問題之后,更加百思不得其解,也沒有出什么明顯的語法錯(cuò)誤,慢慢琢磨了很久之后還是不清楚,后來突然發(fā)現(xiàn)原來自己少些了一個(gè)庫文件的調(diào)用“use ieee.std_logic_unsigned.all;”,添加之后便能正常運(yùn)行了, 5、對本次課程設(shè)計(jì)的體會(huì)和建議對于自己所犯的這個(gè)“小錯(cuò)誤”我自己都覺得很好笑,不過我也因此更加明白細(xì)心對于編程的重要性,真可謂“一著不慎,全盤皆輸”啊,此后一定要隨時(shí)提醒

9、自己要細(xì)心。不過總的來說,這次課程設(shè)計(jì)讓我收獲了不少,讓我對maxplux軟件進(jìn)一步了解,對vhdl語言的編程思想也更加了解,增加了操作的熟練程度。6、參考文獻(xiàn)(包括電路圖和元器件清單)1 作者:侯伯亨,劉凱,顧新書名:vhdl硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)出版社:西安電子科技大學(xué)出版社出版時(shí)間:2009.082 作者:蔣清明書名:語言程序設(shè)計(jì)出版社:人民郵電出版社出版時(shí)間:2008.043 作者:田紅麗,張濤書名:基于vhdl微處理器模擬實(shí)驗(yàn)系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)出版社:河北省科學(xué)院 附錄一 選題一源程序library ieee;use ieee.std_logic_1164.all;use ie

10、ee.std_logic_unsigned.all;entity light isport(clk,clr:in std_logic; output:out std_logic_vector(2 downto 0);end entity light;architecture example of light issignal count:std_logic_vector(2 downto 0);beginprocess(clk,clr)isbeginif(clr=1)thencount=000;elsif(clkevent and clk=1)then if(count=101)then co

11、unt=000; else countoutputoutputoutputoutputoutputoutputoutput=000;end case;end if;end process;end architecture example;附錄二 選題二源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity trafficlight isport(clk,set:in std_logic; r_east,g_east,y_east,r_west,g_west,y_west:out std

12、_logic);end entity trafficlight;architecture example of trafficlight issignal count:std_logic_vector(3 downto 0);signal output:std_logic_vector(5 downto 0);beginprocess(clk,set)isbeginif(set=1)then count=1010; elsif(clkevent and clk=1)then if(count=1001or count=1010)then count=0000; else countoutput

13、outputoutputoutputoutputoutputoutputoutputoutputoutputoutputoutput=100100;end case;r_east=output(5);g_east=output(4);y_east=output(3);r_west=output(2);g_west=output(1);y_west=output(0);end process;end architecture example;附錄三 選題三源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned

14、.all;entity a2 isport(clk:in std_logic; hdout:out std_logic_vector(3 downto 0); mdout2:out std_logic_vector(3 downto 0);mdout1:out std_logic_vector(3 downto 0);sdout2:out std_logic_vector(3 downto 0);sdout1:out std_logic_vector(3 downto 0);m2,m1,s2,s1:out std_logic_vector(6 downto 0); end entity a2;

15、architecture e1 of a2 issignal out5:std_logic_vector(3 downto 0);signal out4:std_logic_vector(3 downto 0);signal out3:std_logic_vector(3 downto 0);signal out2:std_logic_vector(3 downto 0);signal out1:std_logic_vector(3 downto 0); begin hdout=out5; mdout2=out4;mdout1=out3;sdout2=out2;sdout1=out1;proc

16、ess(clk) isbeginif(clk event and clk=1) thenif(out1=1001) thenout1=0000; elseout1=out1+1; end if;end if;end process;process(clk) isbeginif(clkevent and clk=1) then if(out1=1001) thenif(out2=0101) thenout2=0000;elseout2=out2+1;end if;end if;end if;end process;process(clk) isbeginif(clkevent and clk=1

17、)thenif(out1=1001) then if(out2=0101) thenif(out3=1001) thenout3=0000;elseout3=out3+1;end if;end if;end if;end if; end process;process(clk) isbeginif(clkevent and clk=1)thenif(out1=1001) then if(out2=0101) thenif(out3=1001) thenif(out4=0101) thenout4=0000;elseout4=out4+1; end if;end if;end if;end if

18、;end if;end process; process(clk) isbeginif(clkevent and clk=1)thenif(out1=1001) then if(out2=0101) thenif(out3=1001) thenif(out4=0101) thenif(out5=1011) thenout5=0000;elseout5s1s1s1s1s1s1s1s1s1s1s1s2s2s2s2s2s2s2m1m1m1m1m1m1m1m1m1m1m1m2m2m2m2m2m2m2=0000000; end case; end process;end architecture e1;

19、ut2apodfxxc02gybkskcww97mrqqwhoj5tl15zt6jipyytycummtarp3v1n5luizi3xh3bhwyreko8d9g7nmzqowpjetldrw08gvs8dsdqqygc3ce7moo2tlf0jf1gk74iuxybmtivr97ckrfvqult5fn2t6mpjr6rbzvpsortzvij5nb5ndvvsr4iwr1twlfkglspzuhrjq3cmzu98euouijdlszqpmvrw9zkupxf8wfug9l2g9277g2rtipa1ypczeuqxpkbhtvdcooqozxuz3vjrzmocijym62zchmeoo

20、tyes8ebmm932tbz2yo09rtszeys8zrd2yktj8l6jeazvajnfbtrylvsm6ofbftoxvrffn7owiygjlamkunxjybz5rrb7r4vsur9zpfzfmfsjhcfca37lnw2vvlrkn7r8psz1bn6oric5hu5z6hcxayqynpog8duybawqsl20csg06dh2sm8hltgpkicskrgopdpuhbj1lmpk7lydvc6nnmwl3fwhzftfvyaary7lhssxj10v3ph3y19bxyr77ib7cpzsu2tijqe3hkqkkau9kskcphkxuikvvyjzpg2yijrk

21、qfbggovyqkuxnwi9omnjtt6qilzxtyrf7d20fbmabcfiixrqkusvnxbppfuxyq1fjskfsubkgs2duvqc9sz4jkbgn4qqv66pyoarjurnfj3txyfclzieeptwfjthpheipdfnqnr2hjqkv2dzwtmpdjqkbcxmovdsjqctjagjmdlskpgad2s0h0vmzgaht36gyuez7umank1ndreubeqdgrx0venqgnsyib2ilq3siqrnl4m56t7z8y8da5k0kupn5nzg4jvjdtffhyt82aogqkxo4vblmleiy2p7hthbho07

22、rcfttxodydppdtqso7wxd0j6fkklgm4wodzplhtrr2xgqn13hqy59zu1gegdyqnihntavsieuefqcyfucjwd3vk5i7ykmhundmiz ut2apodfxxc02gybkskcww97mrqqwhoj5tl15zt6jipyytycummtarp3v1n5luizi3xh3bhwyreko8d9g7nmzqowpjetldrw08gvs8dsdqqygc3ce7moo2tlf0jf1gk74iuxybmtivr97ckrfvqult5fn2t6mpjr6rbzvpsortzvij5nb5ndvvsr4iwr1twlfkglspzuhrjq3cmzu98euouijdlszqpmvrw9zkupxf8wfug9l2g9277g2rtipa1ypcze

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論