基于51單片機的商業(yè)用智能電子秤設(shè)計_第1頁
基于51單片機的商業(yè)用智能電子秤設(shè)計_第2頁
基于51單片機的商業(yè)用智能電子秤設(shè)計_第3頁
基于51單片機的商業(yè)用智能電子秤設(shè)計_第4頁
基于51單片機的商業(yè)用智能電子秤設(shè)計_第5頁
已閱讀5頁,還剩45頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、 i 畢業(yè)論文設(shè)計畢業(yè)論文設(shè)計 基于基于 51 單片機的商業(yè)用智能電子秤設(shè)計單片機的商業(yè)用智能電子秤設(shè)計 ii 摘 要 隨著微電子技術(shù)的應(yīng)用,市場上使用的傳統(tǒng)稱重工具已經(jīng)滿足不了人們的要求。為了改 變傳統(tǒng)稱重工具在使用上存在的問題,在本設(shè)計中將智能化、自動化、人性化用在了電子秤 重的控制系統(tǒng)中。本系統(tǒng)主要由單片機來控制,測量物體重量部分由稱重傳感器及 a/d 轉(zhuǎn)換 器組成,加上顯示單元,此電子秤俱備了功能多、性能價格比高、功耗低、系統(tǒng)設(shè)計簡單、 使用方便直觀、速度快、測量準(zhǔn)確、自動化程度高等特點。 本系統(tǒng)以 at89c51 單片機為主控芯片,外圍附以稱重電路、顯示電路、鍵盤電路等構(gòu)成 智能稱重

2、系統(tǒng)電路板,從而實現(xiàn)自動稱重系統(tǒng)的各種控制功能??梢哉f,此設(shè)計所完成的電 子秤很大程度上滿足了應(yīng)用需求。 關(guān)鍵詞關(guān)鍵詞 at89c51 稱重傳感器 a/d 轉(zhuǎn)換器 lcd 顯示器 iii abstract with the application of micro-electronics technology, tradition ponderation instrument used in market has been not satisfaction with hunman requirements already. in order to make up for the traditi

3、onal apparatus shortcoming, we improve the apparatuss control system with intelligence and automation. this system is mainly controlled by microcontroller, the section of height measurement accomplish by supersonic sensor, the section of weight measurement accomplish by weight sensor and a/d transfo

4、rmer, this apparatus have many characteristic such as having more function, consume less energy, small and move easily, low price, measure precisely, the speed is quick, automatic work without people and so on. the system is mainly controlled by the microcontroller at89s52, the periphery is consist

5、of the circuit of clock and calendar, the circuit of measure height and weight, the circuit of display and print, all of these comprise the circuit board of the intelligent apparatus of height and weight. it can achieve all function of the apparatus. key words:at89c51; weighing sensor; a / d convert

6、er; lcd monitor iv i 目 錄 摘 要.i abstract.ii 第一章 緒論.1 1.1 電子秤的概述.1 1.2 設(shè)計目的.2 第二章 系統(tǒng)方案的設(shè)計.1 2.1 設(shè)計要求.1 2.2 進度安排.1 2.3 電子秤的工作原理.1 2.4 系統(tǒng)的整體設(shè)計思路.2 第三章 系統(tǒng)硬件電路設(shè)計.5 3.1 壓力傳感器.5 3.2 前級放大器.8 3.2.1 反相比例運算電路.8 3.2.2 前級放大電路.10 3.3 a/d 轉(zhuǎn)換器.11 3.3.1 方案比較.11 3.3.2 adc0832 芯片介紹.12 3.3.3 采樣電路圖.12 3.4 控制器.13 3.4.1 at

7、89c51 芯片介紹.14 3.4.2 單片機最小系統(tǒng).16 3.5 輸入鍵盤.17 3.5.1 矩陣鍵盤仿真及接線圖.18 3.5.2 矩陣鍵盤工作原理.18 3.6 輸出顯示.18 ii 3.6.1 lcd12864 介紹.19 3.6.2 lcd 顯示電路圖.21 3.6.3 lcd 的驅(qū)動.21 第四章 系統(tǒng)軟件的設(shè)計.22 4.1 主程序設(shè)計.22 4.2 a/d 轉(zhuǎn)換啟動及數(shù)據(jù)讀取程序設(shè)計.23 4.3 鍵盤子程序.24 4.4 顯示子程序.25 第五章 仿真調(diào)試.26 5.1 proteus軟件介紹.26 5.2 仿真步驟.27 5.3 調(diào)試故障及原因分析.28 結(jié) 論.29 參

8、考文獻(xiàn).30 致謝.31 附錄一 硬件電路仿真圖.32 附錄二 程序清單.33 1 1 第一章 緒論 1.11.1 電子秤的概述電子秤的概述 電子秤的發(fā)展過程與其它事物一樣,也經(jīng)歷了由簡單到復(fù)雜,由粗糙到精密、由機械到 機電結(jié)合再到全電子化、由單一功能到多功能的過程。特別是近 30 年以來,工藝流程中的 現(xiàn)場稱重、配料定量稱重、以及產(chǎn)品質(zhì)量的監(jiān)測等工作,都離不開能輸出電信號的電子衡器。 這是由于電子衡器不僅能給出質(zhì)量或重量信號,而且也能作為總系統(tǒng)中的一個單元承擔(dān)著控 制和檢驗功能,從而推進工業(yè)生產(chǎn)和貿(mào)易交往的自動化和合理化。 近年來,電子秤已愈來愈多地參與到數(shù)據(jù)處理和過程控制中?,F(xiàn)代稱重技術(shù)和

9、數(shù)據(jù)系統(tǒng) 已經(jīng)成為工藝技術(shù)、儲運技術(shù)、預(yù)包裝技術(shù)、收貨業(yè)務(wù)及商業(yè)銷售領(lǐng)域中不可缺少的組成部 分。隨著稱重傳感器各項性能的不斷突破,為電子秤的發(fā)展奠定了其礎(chǔ),國外如美國、西歐 等一些國家在 2 0 世紀(jì) 6 0 年代就出現(xiàn)了 0 .1%稱量準(zhǔn)確度的電子秤,并在 7 0 年代中期約 對 75%的機械秤進行了機電結(jié)合式的電子化改造。 稱重裝置不儀是提供重量數(shù)據(jù)的單體儀表,而且作為工業(yè)控制系統(tǒng)和商業(yè)管理系統(tǒng)的一 個組成部分,推進了工業(yè)生產(chǎn)的自動化和管理的現(xiàn)代化,它起到了縮短作業(yè)時間、改善操作 條件、降低能源和材料的消耗、提高產(chǎn)品質(zhì)量以及加強企業(yè)管理、改善經(jīng)營管理等多方面的 作用。稱重裝置的應(yīng)用已遍及到

10、圍民經(jīng)濟各領(lǐng)域,取得了顯著的經(jīng)濟效益。 因此,稱重技術(shù)的研究和衡器工業(yè)的發(fā)展各國都非常重視。50 年代中期電子技術(shù)的滲 入推動了衡器制造業(yè)的發(fā)展。60 年代初期出現(xiàn)機電結(jié)合式電了衡器以來,經(jīng)過 40 多年的不 斷改進與完善,我國電子衡器從最初的機電結(jié)合型發(fā)展到現(xiàn)在的全電子型和數(shù)字智能型?,F(xiàn) 今電子衡器制造技術(shù)及應(yīng)用得到了新發(fā)展。電子稱重技術(shù)從靜態(tài)稱重向動態(tài)稱重發(fā)展:計量 方法從模擬測量向數(shù)字測量發(fā)展;測量特點從單參數(shù)測量向多參數(shù)測量發(fā)展,特別是對快速 稱重和動態(tài)稱重的研究與應(yīng)用。通過分析近年來電子衡器產(chǎn)品的發(fā)展情況及國內(nèi)外市場的需 求,電子衡器總的發(fā)展趨勢是小型化、模塊化、集成化、智能化;其技

11、術(shù)性能趨向是速率高、 準(zhǔn)確度高、穩(wěn)定性高、可靠性高;其功能趨向是稱重計量的控制信息和非控制信息并重的 “智能化”功能;其應(yīng)用性能趨向于綜合性和組合性。電子秤是電了衡器中的一種,衡器是 國家法定計量器具,是圍計民生、國防建設(shè)、科學(xué)研究、內(nèi)外貿(mào)易不可缺少的計量設(shè)備,衡 器產(chǎn)品技術(shù)水平的高低,將直接影響各行各業(yè)的現(xiàn)代化水平和社會經(jīng)濟效益的提高。 第一章 緒論 2 1.21.2 設(shè)計目的設(shè)計目的 單片機以其功能強,體積小,功耗低,易開發(fā)等很多優(yōu)勢被廣泛應(yīng)用。但單片機不是萬 能的,也存在不適合的場合,我們要充分利用單片機的內(nèi)部資源和選擇合適的單片機來完成 我們的設(shè)計。本數(shù)字電子秤的設(shè)計過程中需要用到 a

12、/d 轉(zhuǎn)換、鍵盤、液晶顯示、復(fù)位電路和 蜂鳴器報警驅(qū)動電路的知識,同時在軟件的設(shè)計過程中需要用到鍵盤掃描、液晶顯示驅(qū)動、 模數(shù)轉(zhuǎn)換程序及漢字庫的的設(shè)計,可以很好的將數(shù)電、模電、單片機知識進行綜合應(yīng)用。在 綜合應(yīng)用中進一步熟悉單片機設(shè)計的開發(fā)各個流程,最終達(dá)到鞏固基礎(chǔ)、注重設(shè)計、培養(yǎng) 技能、追求創(chuàng)新、走向?qū)嵱玫哪康摹?1 1 第二章 系統(tǒng)方案的設(shè)計 2.12.1 設(shè)計要求設(shè)計要求 1)參數(shù)要求 量程:4.999kg 感量(分辨率):1g 數(shù)字顯示:重量 3 1/2位 2)設(shè)計要求 (1) 采用單片機控制,要求設(shè)計出硬件系統(tǒng)和軟件系統(tǒng)。 (2) 合理選擇傳感器、轉(zhuǎn)換電路和顯示輸出電路等。 3)其它

13、要求 能實現(xiàn)轉(zhuǎn)換、顯示和調(diào)校等多,種功能,具有準(zhǔn)確度高、實時性好等特點。 2.22.2 進度安排進度安排 4.4-4.24 查找設(shè)計資料,畫出原理電路框圖; 4.25-5.15 完成硬件電路的具體設(shè)計; 5.16-5.29 完成軟件程序的設(shè)計和編寫; 5.30-6.5 仿真調(diào)試; 6.6-6.24 撰寫設(shè)計報告(論文) ,答辯。 2.32.3 電子秤的工作原理電子秤的工作原理 當(dāng)被稱物體放置在秤體的秤臺上時,其重量便通過秤體傳遞到稱重傳感器,傳感器隨之 產(chǎn)生力一電效應(yīng),將物體的重量轉(zhuǎn)換成與被稱物體重量成一定函數(shù)關(guān)系(一般成正比關(guān)系) 的電信號(電壓或電流等) 。此信號由放大電路進行放大、經(jīng)濾波

14、后再由模數(shù)( a/d)器進 行轉(zhuǎn)換,數(shù)字信號再送到微處器的 cpu 處理,cpu 不斷掃描鍵盤和各功能開關(guān),根據(jù)鍵盤輸 入內(nèi)容和各種功能開關(guān)的狀態(tài)進行必要的判斷、分析、由儀表的軟件來控制各種運算。運算 結(jié)果送到內(nèi)存貯器,需要顯示時,cpu 發(fā)出指令,從內(nèi)存貯器中讀出送到顯示器顯示,或送 打印機打印。 第二章 系統(tǒng)方案的設(shè)計 4 2.42.4 系統(tǒng)的整體設(shè)計思路系統(tǒng)的整體設(shè)計思路 根據(jù)電子秤的工作原理可以將電子秤大致能劃分為三大部分,數(shù)據(jù)采集模塊、控制器 模塊和人機交互界面模塊。圖 2.1 為系統(tǒng)的整體框圖。 數(shù)據(jù)采集模塊由壓力傳感器、信號的前級處理和 a/d 轉(zhuǎn)換部分組成。測量過程中把被測 物

15、體的重量通過傳感器將重量信號轉(zhuǎn)化為電壓信號輸出。信號的前級處理將來自傳感器的微 弱信號進行濾波和放大,放大后的電壓信號經(jīng)過模數(shù)轉(zhuǎn)換把模擬量轉(zhuǎn)換成數(shù)字量。 控制器模塊將數(shù)據(jù)采集模塊傳來的數(shù)字信號進行處理,完成被測物體重量的判斷、顯示 等功能。此部分對軟件的設(shè)計要求比較高,系統(tǒng)的大部分功能都需要軟件來控制。 人機交互界面模塊主要由鍵盤和顯示器組成。鍵盤輸入是系統(tǒng)接受用戶指令的直接途徑。 顯示器采用 lcd 液晶顯示器,可以直觀的顯示物品的重量,單價和總金額。 lcd 顯示 數(shù)據(jù)采集控制模塊 圖 2.1 系統(tǒng)整體框圖 鍵盤控制 鍵盤控制鍵盤控制鍵盤控制 5 5 第三章 系統(tǒng)硬件電路設(shè)計 3.13.1

16、 壓力傳感器壓力傳感器 傳感器的定義:能感受規(guī)定的被測量,并按照一定規(guī)律轉(zhuǎn)換成可用輸出信號的器件或裝 置。通常傳感器由敏感元件和轉(zhuǎn)換元件組成。其中敏感元件指傳感器中能直接感受被測量的 部分,轉(zhuǎn)換部分指傳感器中能將敏感元件輸出量轉(zhuǎn)換為適于傳輸和測量的電信號部分?,F(xiàn)代 科技的快速發(fā)展使人類社會進入了信息時代,在信息時代人們的社會活動將主要依靠對信息 資源的開發(fā)和獲取、傳輸和處理,而傳感器處于自動檢測與控制系統(tǒng)之首,是感知獲取與檢 測信息的窗口;傳感器處于研究對象與測控系統(tǒng)的接口位置,一切科學(xué)研究和生產(chǎn)過程要獲 取的信息,都要通過它轉(zhuǎn)換為易傳輸與處理的電信號。因此,傳感器的地位與作用特別重要。 稱重

17、傳感器在電子秤中占有十分重要的位置,被喻為電子秤的心臟部件,它的性能好壞 很大程度上決定了電子秤的精確度和穩(wěn)定性。通常稱重傳感器產(chǎn)生的誤差約占電子秤整機誤 差的 50%70%。若在環(huán)境惡劣的條件下(如高低溫、濕熱),傳感器所占的誤差比例就更大, 因此,在人們設(shè)計電子秤時,正確地選用稱重傳感器非常重要。 稱重傳感器的種類很多,根據(jù)工作原理來分常用的有以下幾種: 電阻應(yīng)變式、電容式、 壓電式等。 一 壓電傳感器 壓電傳感器是一種典型的有源傳感器,又稱自發(fā)電式傳感器。其工作原理是基于某些材 料受力后在其相應(yīng)的特定表面產(chǎn)生電荷的壓電效應(yīng)。 壓電傳感器體積小、重量輕、結(jié)構(gòu)簡單、工作可靠,適用于動態(tài)力學(xué)量

18、的測量,不適合 測頻率太低的被測量,更不能測靜態(tài)量。目前多用于加速度和動態(tài)力或壓力的測量。壓電器 件的弱點:高內(nèi)阻、小功率。功率小,輸出的能量微弱,電纜的分布電容及噪聲干擾影響輸 出特性,這對外接電路要求很高。 二 電容式傳感器 電容式傳感器是將被測非電量的變化轉(zhuǎn)換為電容變化的一種傳感器。它有結(jié)構(gòu)簡單、靈 敏度高、動態(tài)響應(yīng)好、可實現(xiàn)非接觸測量、具有平均效應(yīng)等優(yōu)點。電容傳感器可用來檢測壓 力、力、位移以及振動學(xué)非電參量。 電容傳感器的基本工作原理可用最普通的平行極板電容器來說明。兩塊相互平行的金屬 極板,當(dāng)不考慮其邊緣效應(yīng)(兩個極板邊緣處的電力線分布不均勻引起電容量的變化)時, 第三章 系統(tǒng)硬件

19、電路設(shè)計 6 d a c or 其電容量為 (3.1) 式(3.1)中 兩極板間的距離;d a兩平行極板相互覆蓋的有效面積; 介質(zhì)的相對介電常數(shù); r 真空中介電常數(shù)。 o 若被測量的變化使式中、a、三個參量中任一個發(fā)生變化,都會引起電容量的變化,d r 通過測量電路就可轉(zhuǎn)換為電量輸出4。 雖然電容式傳感器有結(jié)構(gòu)簡單和良好動態(tài)特性等諸多優(yōu)點,但也有不利因素: (1)小功率、高阻抗。受幾何尺寸限制,電容傳感器的電容量都很小,一般僅幾皮法 至幾十皮法。因 c 太小,故容抗=1/c 很大,為高阻抗元件,負(fù)載能力差;又因其視在 c x 功率 p=c ,c 很小,則 p 也很小。故易受外界干擾,信號需經(jīng)

20、放大,并采取抗干擾措 2 o u 施。 (2)初始電容小,電纜電容、線路的雜散電路所構(gòu)成的寄生電容影響很大。 三 電阻應(yīng)變式傳感器 電阻應(yīng)變式傳感器是一種利用電阻應(yīng)變效應(yīng),將各種力學(xué)量轉(zhuǎn)換為電信號的結(jié)構(gòu)型傳感 器。電阻應(yīng)變片式電阻應(yīng)變式傳感器的核心元件,其工作原理是基于材料的電阻應(yīng)變效應(yīng), 電阻應(yīng)變片即可單獨作為傳感器使用,又能作為敏感元件結(jié)合彈性元件構(gòu)成力學(xué)量傳感器。 導(dǎo)體的電阻隨著機械變形而發(fā)生變化的現(xiàn)象叫做電阻應(yīng)變效應(yīng)。電阻應(yīng)變片把機械應(yīng)變 信號轉(zhuǎn)換為r/r 后,由于應(yīng)變量及相應(yīng)電阻變化一般都很微小,難以直接精確測量,且不 便處理。因此,要采用轉(zhuǎn)換電路把應(yīng)變片的r/r 變化轉(zhuǎn)換成電壓或電

21、流變化。其轉(zhuǎn)換電路 常用測量電橋。 直流電橋的特點是信號不會受各元件和導(dǎo)線的分布電感及電容的影響,抗干擾能力強, 但因機械應(yīng)變的輸出信號小,要求用高增益和高穩(wěn)定性的放大器放大。 下圖為一直流供電的平衡電阻電橋,接直流電源 e: in e 7 7 )( 43 4 21 1 rr r rr r e )( 4321 4231 rrrr rrrr e )()()()( )()( 22 rrrrrrrr errrr uo e r r 圖 3.1 傳感器結(jié)構(gòu)原理圖 當(dāng)電橋輸出端接無窮大負(fù)載電阻時,可視輸出端為開路,此時直流電橋稱為電壓橋,即 只有電壓輸出。 當(dāng)忽略電源的內(nèi)阻時,由分壓原理有: adabbd

22、o uuuu = (3.2) 當(dāng)滿足條件 r1r3=r2r4 時,即 (3.3) 3 4 2 1 r r r r =0,即電橋平衡。式(3.3)稱平衡條件。 o u 應(yīng)變片測量電橋在測量前使電橋平衡,從而使測量時電橋輸出電壓只與應(yīng)變片感受的應(yīng) 變所引起的電阻變化有關(guān)。 若差動工作,即 r1=r-r,r2=r+r,r3=r-r,r4=r+r,按式(3.2) ,則電橋輸出為 第三章 系統(tǒng)硬件電路設(shè)計 8 (3.4)ek 應(yīng)變片式傳感器有如下特點: (1)應(yīng)用和測量范圍廣,應(yīng)變片可制成各種機械量傳感器。 (2)分辨力和靈敏度高,精度較高。 (3)結(jié)構(gòu)輕小,對試件影響小, 對復(fù)雜環(huán)境適應(yīng)性強,可在高溫

23、、高壓、強磁場等特 殊環(huán)境中使用,頻率響應(yīng)好。 (4)商品化,使用方便,便于實現(xiàn)遠(yuǎn)距離、自動化測量。 通過以上對傳感器的比較分析,最終選擇了第三種方案。題目要求稱重范圍 04.999kg,分辨率為 1g,考慮到秤臺自重、振動和沖擊分量,還要避免超重?fù)p壞傳感器, 所以傳感器量程必須大于額定稱重 4.999kg。我們選擇的是電阻應(yīng)變片壓力傳感器,量程為 5kg,精度為 0.01% ,滿足本系統(tǒng)的精度要求。 3.23.2 前級放大器前級放大器 經(jīng)由傳感器或敏感元件轉(zhuǎn)換后輸出的信號一般電平較低;經(jīng)由電橋等電路變換后的信號 亦難以直接用來顯示、記錄、控制或進行信號轉(zhuǎn)換。為此,測量電路中常設(shè)有模擬放大環(huán)節(jié)

24、。 這一環(huán)節(jié)目前主要依靠由集成運算放大器的基本元件構(gòu)成具有各種特性的放大器來完成。 放大器的輸入信號一般是由傳感器輸出的。傳感器的輸出信號不僅電平低,內(nèi)阻高,還 常伴有較高的共模電壓。因此,一般對放大器有如下一些要求: 1、輸入阻抗應(yīng)遠(yuǎn)大于信號源內(nèi)阻。否則,放大器的負(fù)載效應(yīng)會使所測電壓造成偏差。 2、抗共模電壓干擾能力強。 3、在預(yù)定的頻帶寬度內(nèi)有穩(wěn)定準(zhǔn)確的增益、良好的線性,輸入漂移和噪聲應(yīng)足夠小以 保證要求的信噪比。從而保證放大器輸出性能穩(wěn)定。 4、能附加一些適應(yīng)特定要求的電路。如放大器增益的外接電阻調(diào)整、方便準(zhǔn)確的量程 切換、極性自動變換等。 綜合以上要求,我們采用了最為簡單的反相比例運算

25、電路來實現(xiàn)放大的功能。 3.2.1 反相比例運算電路 (1)電路的組成 9 9 圖 3.2 反相比例電路 反向比例運算電路的組成如圖 3.2 所示。由圖可見,輸入電壓 ui通過電阻 r1加在運放 的反向輸入端。rf是溝通輸出和輸入的通道,是電路的反饋網(wǎng)絡(luò)。 同向輸入端所接的電阻 rp為電路的平衡電阻,該電阻等于從運放的同向輸入端往外看 除源以后的等效電阻,為了保證運放電路工作在平衡的狀態(tài)下,同相輸入端的電阻應(yīng)該取 rp =r1/rf (2)電壓放大倍數(shù) 圖 3.3 反相比例運算電路 理想運算放大器組成的反相比例運算電路見圖 3.3,顯然是一個電壓并聯(lián)負(fù)反饋電路。 在輸入信號作用下,輸入端有電流

26、ii、ii 、if 。 根據(jù)虛斷的特性有 ii 0 (3.5) 于是 ii if (3.6) 根據(jù)虛短的特性,有u+ u- (3.7) 第三章 系統(tǒng)硬件電路設(shè)計 10 所以(3.8) 放大倍數(shù) au 為(3.9) (3)反向比例運算電路的輸入電阻 (3.10) 為了保證運放電路工作在平衡的狀態(tài)下,同相輸入端的電阻應(yīng)該取 rp =r1/rf (4)由于反向比例運算電路具有虛地的特點。所以共模輸入電壓為 (3.11) 反相比例運算電路由于具有“虛地”的特點,運放的同相輸入端和反相輸入端均為 0 電 位,所以反相比例運算電路的 共模輸入電壓等于 0。 3.2.2 前級放大電路 圖 3.4 放大電路圖

27、 1 f i o u r r u u a f o 1 i r u r u 1 i i i i i 0 r i u i u r 11 11 3.33.3 a/da/d 轉(zhuǎn)換器轉(zhuǎn)換器 3.3.1 方案比較 a/d 轉(zhuǎn)換部分是整個設(shè)計的關(guān)鍵,這一部分處理不好,會使得整個設(shè)計毫無意義。目前, 世界上有多種類型的 adc,有傳統(tǒng)的并行、逐次逼近型、積分型 adc,也有近年來新發(fā)展起 來的- 型和流水線型 adc,多種類型的 adc 各有其優(yōu)缺點并能滿足不同的具體應(yīng)用要求。 目前, adc 集成電路主要有以下幾種類型: (1)并行比較 a/d 轉(zhuǎn)換器:如 adc0808、 adc0809 等 。并行比較

28、adc 是現(xiàn)今速度最快 的模/數(shù)轉(zhuǎn)換器,采樣速率在 1gsps 以上,通常稱為“閃爍式”adc。它由電阻分壓器、比較 器、緩沖器及編碼器四種分組成。這種結(jié)構(gòu)的 adc 所有位的轉(zhuǎn)換同時完成,其轉(zhuǎn)換時間主取 決于比較器的開關(guān)速度、編碼器的傳輸時間延遲等。 (2) 逐次逼近型 a/d 轉(zhuǎn)換器:如:ads7805、ads7804 等。逐次逼近型 adc 是應(yīng)用非常 廣泛的模/數(shù)轉(zhuǎn)換方法,這一類型 adc 的優(yōu)點:高速,采樣速率可達(dá) 1msps;與其它 adc 相 比,功耗相當(dāng)?shù)?;在分辨率低?12 位時,價格較低。缺點:在高于 14 位分辨率情況下,價 格較高;傳感器產(chǎn)生的信號在進行模/數(shù)轉(zhuǎn)換之前需

29、要進行調(diào)理,包括增益級和濾波,這樣 會明顯增加成本。 (3)積分型 a/d 轉(zhuǎn)換器:如:icl7135、icl7109、icl1549、mc14433 等。積分型 adc 又稱為雙斜率或多斜率 adc,是應(yīng)用比較廣泛的一類轉(zhuǎn)換器。它的基本原理是通過兩次積分 將輸入的模擬電壓轉(zhuǎn)換成與其平均值成正比的時間間隔。與此同時,在此時間間隔內(nèi)利用計 數(shù)器對時鐘脈沖進行計數(shù),從而實現(xiàn) a/d 轉(zhuǎn)換。積分型 adc 兩次積分的時間都是利用同一個 時鐘發(fā)生器和計數(shù)器來確定,因此所得到的表達(dá)式與時鐘頻率無關(guān),其轉(zhuǎn)換精度只取決于參 考電壓 vr。此外,由于輸入端采用了積分器,所以對交流噪聲的干擾有很強的抑制能力。

30、若把積分器定時積分的時間取為工頻信號的整數(shù)倍,可把由工頻噪聲引起的誤差減小到最小, 從而有效地抑制電網(wǎng)的工頻干擾。這類 adc 主要應(yīng)用于低速、精密測量等領(lǐng)域,如數(shù)字電壓 表。其優(yōu)點是:分辨率高,可達(dá) 22 位;功耗低、成本低。缺點是:轉(zhuǎn)換速率低,轉(zhuǎn)換速率 在 12 位時為 100300sps。 (4)壓頻變換型 adc:其優(yōu)點是:精度高、價格較低、功耗較低。缺點是:類似于積分 型 adc,其轉(zhuǎn)換速率受到限制,12 位時為 100300sps。 考慮到本系統(tǒng)中對物體重量的測量和使用的場合,精度要求不是很苛刻,轉(zhuǎn)換速率要求 也不高。因此首先考慮常用的數(shù)模轉(zhuǎn)換芯片 adc0809,由于本設(shè)計只需采

31、集儀一路數(shù)據(jù), 第三章 系統(tǒng)硬件電路設(shè)計 12 adc0809 為并行的 8 路數(shù)據(jù)采集芯片,且接線較復(fù)雜,因此考慮采用串行的 a/d 轉(zhuǎn)換芯片 0832 完成設(shè)計。 3.3.2 adc0832 芯片介紹 adc0832 與 msc-51 單片機接口構(gòu)成了串行的 8 位通道,微處理器通過軟件寫入三位串 行控制命令決定 adc0832 的工作方式,ch0 單端輸入,輸入范圍 0-5v,非調(diào)整誤差為正負(fù) 1lsb,電路僅使用微處理器的兩根控制線,兩根數(shù)據(jù)線可方便進行光電隔離增強抗干擾能力。 適用于智能化檢測儀器儀表。 正常情況下 adc0832 與單片機的接口應(yīng)為 4 條數(shù)據(jù)線,分別是 cs、cl

32、k、do、di。 但由于 do 端與 di 端在通信時并未同時有效并與單片機的接口是雙向的,所以電路設(shè)計時 可以將 do 和 di 并聯(lián)在一根數(shù)據(jù)線上使用。當(dāng) adc0832 未工作時其 cs 輸入端應(yīng)為高電平, 此時芯片禁用,clk 和 do/di 的電平可任意。當(dāng)要進行 a/d 轉(zhuǎn)換時,須先將 cs 使能端置 于低電平并且保持低電平直到轉(zhuǎn)換完全結(jié)束。此時芯片開始轉(zhuǎn)換工作,同時由處理器向芯片 時鐘輸入端 clk 輸入時鐘脈沖,do/di 端則使用 di 端輸入通道功能選擇的數(shù)據(jù)信號。在 第 1 個時鐘脈沖的下沉之前 di 端必須是高電平,表示啟始信號。在第 2、3 個脈沖下沉之 前 di 端

33、應(yīng)輸入 2 位數(shù)據(jù)用于選擇通道功能, 當(dāng)此 2 位數(shù)據(jù)為“1”、“0”時,只對 ch0 進行單通道轉(zhuǎn)換。當(dāng) 2 位數(shù)據(jù)為“1”、“1”時, 只對 ch1 進行單通道轉(zhuǎn)換。當(dāng) 2 位數(shù)據(jù)為“0”、“0”時,將 ch0 作為正輸入端 in+,ch1 作 為負(fù)輸入端 in-進行輸入。當(dāng) 2 位數(shù)據(jù)為“0”、“1”時,將 ch0 作為負(fù)輸入端 in-,ch1 作為 正輸入端 in+進行輸入。到第 3 個脈沖的下沉之后 di 端的輸入電平就失去輸入作用,此后 do/di 端則開始利用數(shù)據(jù)輸出 do 進行轉(zhuǎn)換數(shù)據(jù)的讀取。從第 4 個脈沖下沉開始由 do 端輸 出轉(zhuǎn)換數(shù)據(jù)最高位 data7,隨后每一個脈沖下

34、沉 do 端輸出下一位數(shù)據(jù)。直到第 11 個脈沖 時發(fā)出最低位數(shù)據(jù) data0,一個字節(jié)的數(shù)據(jù)輸出完成。也正是從此位開始輸出下一個相反 字節(jié)的數(shù)據(jù),即從第 11 個字節(jié)的下沉輸出 data0。隨后輸出 8 位數(shù)據(jù),到第 19 個脈沖時 數(shù)據(jù)輸出完成,也標(biāo)志著一次 a/d 轉(zhuǎn)換的結(jié)束。最后將 cs 置高電平禁用芯片,直接將轉(zhuǎn)換 后的數(shù)據(jù)進行處理就可以了。 3.3.3 采樣電路圖 13 13 圖 3.5 采樣電路圖 3.43.4 控制器控制器 根據(jù)題目要求,有以下兩種控制方案: 方案一:采用現(xiàn)場可編程門陣列(fpga)為控制核心,利用 eda 軟件編程,下載燒制實現(xiàn)。 系統(tǒng)集成于一片 xilinx

35、 公司的 spartan系列 xc2s100e 芯片上,體積大大減小、邏輯單元 靈活、集成度高以及適用范圍廣等特點,可實現(xiàn)大規(guī)模和超大規(guī)模的集成電路。 但是大規(guī)??删幊踢壿嬈骷话闶鞘褂脿顟B(tài)機方式來實現(xiàn),即所解決的問題都是規(guī)則的 有限狀態(tài)轉(zhuǎn)換問題。本系統(tǒng)狀態(tài)較多,難度較大。 方案二:目前單片機技術(shù)比較成熟,功能也比較強大,被測信號經(jīng)放大整形后送入單片 機,由單片機對測量信號進行處理并根據(jù)相應(yīng)的數(shù)據(jù)關(guān)系譯碼顯示出被測物體的重量, 。 本設(shè)計由于要求必須使用單片機作為系統(tǒng)的主控制器,而且以單片機為主控制器的設(shè)計, 可以容易地將計算機技術(shù)和測量控制技術(shù)結(jié)合在一起,組成新型的只需要改變軟件程序就可 以

36、更新?lián)Q代的“智能化測量控制系統(tǒng)” 。這種新型的智能儀表在測量過程自動化、測量結(jié)果 的數(shù)據(jù)處理以及功能的多樣化方面,都取得了巨大的進展。 再則由于系統(tǒng)沒有其它高標(biāo)準(zhǔn)的要求,又考慮到本設(shè)計中程序部分比較大,根據(jù)總體方 案設(shè)計的分析,設(shè)計這樣一個簡單的的系統(tǒng),可以選用帶 eprom 的單片機,由于應(yīng)用程序不 大,應(yīng)用程序直接存儲在片內(nèi),不用在外部擴展存儲器,這樣電路也可簡化。intel 公司的 8051 和 8751 都可使用,在這里選用 atmenl 生產(chǎn)的 at89sxx 系列單片機。at89sxx 系列與 mcs-51 相比有兩大優(yōu)勢:第一,片內(nèi)存儲器采用閃速存儲器,使程序?qū)懭敫臃奖悖坏诙?/p>

37、 提供了更小尺寸的芯片,使整個硬件電路體積更小。此外價格低廉、性能比較穩(wěn)定的 mcpu,具有 8k8rom、2568ram、2 個 16 位定時計數(shù)器、4 個 8 位 i/o 接口。這些配置能 夠很好地實現(xiàn)本儀器的測量和控制要求 最后我們最終選擇了 at89c51 這個比較常用的單片機來實現(xiàn)系統(tǒng)的功能要求。at89c51 內(nèi)部帶有 8kb 的程序存儲器,基本上已經(jīng)能夠滿足我們的需要。 第三章 系統(tǒng)硬件電路設(shè)計 14 3.4.1 at89c51 芯片介紹 at89c51(如圖所示)是一種帶 4k 字節(jié)閃爍可編程可擦除只讀存儲(fperomfalsh programmable and erasab

38、le read only memory)的低電壓,高性能 cmos8 位微處理器,俗 稱單片機。該器件采用 atmel 高密度非易失存儲器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的 mcs-51 指 令集和輸出管腳相兼容。由于將多功能 8 位 cpu 和閃爍存儲器組合在單個芯片中,atmel 的 at89s51 是一種高效微控制器,為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價廉的方案。 各管腳功能如下: vcc:供電電壓。 gnd:接地。 p0 口:p0 口為一個 8 位漏級開路雙向 i/o 口,每腳可吸收 8ttl 門電流。當(dāng) p1 口的管 腳第一次寫 1 時,被定義為高阻輸入。p0 能夠用于外部程序數(shù)據(jù)存儲

39、器,它可以被定義為 數(shù)據(jù)/地址的第八位。在 fiash 編程時,p0 口作為原碼輸入口,當(dāng) fiash 進行校驗時,p0 輸出原碼,此時 p0 外部必須被拉高。 p1 口:p1 口是一個內(nèi)部提供上拉電阻的 8 位雙向 i/o 口,p1 口緩沖器能接收輸出 4ttl 門電流。p1 口管腳寫入 1 后,被內(nèi)部上拉為高,可用作輸入,p1 口被外部下拉為低電平時, 將輸出電流,這是由于內(nèi)部上拉的緣故。在 flash 編程和校驗時,p1 口作為第八位地址接 收。 p2 口:p2 口為一個內(nèi)部上拉電阻的 8 位雙向 i/o 口,p2 口緩沖器可接收,輸出 4 個 ttl 門電流,當(dāng) p2 口被寫“1”時,

40、其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為 輸入時,p2 口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。p2 口當(dāng)用于外 部程序存儲器或 16 位地址外部數(shù)據(jù)存儲器進行存取時,p2 口輸出地址的高八位。在給出地 址“1”時,它利用內(nèi)部上拉優(yōu)勢,當(dāng)對外部八位地址數(shù)據(jù)存儲器進行讀寫時,p2 口輸出其 特殊功能寄存器的內(nèi)容。p2 口在 flash 編程和校驗時接收高八位地址信號和控制信號。 p3 口:p3 口管腳是 8 個帶內(nèi)部上拉電阻的雙向 i/o 口,可接收輸出 4 個 ttl 門電流。 當(dāng) p3 口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為 低電

41、平,p3 口將輸出電流(ill)這是由于上拉的緣故。 rst:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時,要保持 rst 腳兩個機器周期的高電平時當(dāng) 8051 通電,時鐘電路開始工作,在 reset 引腳上出現(xiàn) 24 個時鐘周期以上的高電平,系統(tǒng)即初始 復(fù)位。初始化后,程序計數(shù)器 pc 指向 0000h,p0-p3 輸出口全部為高電平,堆棧指鐘寫入 15 15 07h,其它專用寄存器被清“0” 。reset 由高電平下降為低電平后,系統(tǒng)即從 0000h 地址開 始執(zhí)行程序。然而,初始復(fù)位不改變 ram(包括工作寄存器 r0-r7)的狀態(tài), 表 3.1 at89c51 的初始態(tài) 特殊功能寄存 器 初始態(tài) 特殊

42、功能寄存 器 初始態(tài) acc 00h b 00h psw 00h sp 07h dph 00h th0 00h dpl 00h tl0 00h ip xxx00000b th1 00h ie 0 xx00000b tl1 00h tmod 00h tcon 00h scon xxxxxxxxb sbuf 00h p0-p3 1111111b pcon 0 xxxxxxxb ale/prog:當(dāng)訪問外部存儲器時,地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。 在 flash 編程期間,此引腳用于輸入編程脈沖。在平時,ale 端以不變的頻率周期輸出正脈 沖信號,此頻率為振蕩器頻率的 1/6。因此它

43、可用作對外部輸出的脈沖或用于定時目的。然 而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲器時,將跳過一個 ale 脈沖。如想禁止 ale 的輸出可 在 sfr8eh 地址上置 0。此時, ale 只有在執(zhí)行 movx,movc 指令是 ale 才起作用。另外,該 引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài) ale 禁止,置位無效。 psen:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每個機器周期兩次 /psen 有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/psen 信號將不出現(xiàn)。 ea/vpp:當(dāng)/ea 保持低電平時,則在此期間外部程序存儲器(0000h-ffffh) ,不管是否 有內(nèi)部程序

44、存儲器。注意加密方式 1 時,/ea 將內(nèi)部鎖定為 reset;當(dāng)/ea 端保持高電平時, 此間內(nèi)部程序存儲器。在 flash 編程期間,此引腳也用于施加 12v 編程電源(vpp) 。 xtal1:反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入。 第三章 系統(tǒng)硬件電路設(shè)計 16 xtal2:來自反向振蕩器的輸出。 振蕩器特性:xtal1 和 xtal2 分別為反向放大器的輸入和輸出。該反向放大器可以配置 為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時鐘源驅(qū)動器件,xtal2 應(yīng)不接。 有余輸入至內(nèi)部時鐘信號要通過一個二分頻觸發(fā)器,因此對外部時鐘信號的脈寬無任何要求, 但必須保證脈沖的高

45、低電平要求的寬度。 芯片擦除:整個 perom 陣列和三個鎖定位的電擦除可通過正確的控制信號組合,并保持 ale 管腳處于低電平 10ms 來完成。在芯片擦操作中,代碼陣列全被寫“1”且在任何非空存 儲字節(jié)被重復(fù)編程以前,該操作必須被執(zhí)行。 此外,at89s51 設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可 選的掉電模式。在閑置模式下,cpu 停止工作。但 ram,定時器,計數(shù)器,串口和中斷系統(tǒng) 仍在工作。在掉電模式下,保存 ram 的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到 下一個硬件復(fù)位為止。 圖 3.6 at89c51 芯片圖 3.4.2 單片機最小系統(tǒng) at89

46、c51 單片機的最小系統(tǒng)由時鐘電路、復(fù)位電路、電源電路及單片機構(gòu)成。單片機的 時鐘信號用來提供單片機片內(nèi)各種操作的時間基準(zhǔn),復(fù)位操作則使單片機的片內(nèi)電路初始化, 使單片機從一種確定的初態(tài)開始運行。 單片機的時鐘信號通常用兩種電路形式得到:內(nèi)部振蕩方式和外部振蕩方式。在引腳 xtal1 和 xtal2 外接晶體振蕩器(簡稱晶振)或陶瓷諧振器,就構(gòu)成了內(nèi)部振蕩方式。由于單 片機內(nèi)部有一個高增益反相放大器,當(dāng)外接晶振后,就構(gòu)成了自激振蕩器并產(chǎn)生振蕩時鐘脈 17 17 沖。 當(dāng) mcs-5l 系列單片機的復(fù)位引腳 rst(全稱 reset)出現(xiàn) 2 個機器周期以上的高電平時, 單片機就執(zhí)行復(fù)位操作。如

47、果 rst 持續(xù)為高電平,單片機就處于循環(huán)復(fù)位狀態(tài)。根據(jù)應(yīng)用的 要求,復(fù)位操作通常有兩種基本形式:上電復(fù)位和上電或開關(guān)復(fù)位。上電復(fù)位要求接通電源 后,自動實現(xiàn)復(fù)位操作。 上電或開關(guān)復(fù)位要求電源接通后,單片機自動復(fù)位,并且在單片機運行期間,用開關(guān)操 作也能使單片機復(fù)位。單片機的復(fù)位操作使單片機進入初始化狀態(tài),其中包括使程序計數(shù)器 pc0000h,這表明程序從 0000h 地址單元開始執(zhí)行。 系統(tǒng)復(fù)位是任何微機系統(tǒng)執(zhí)行的第一步,使整個控制芯片回到默認(rèn)的硬件狀態(tài)下。51 單片機的復(fù)位是由 reset 引腳來控制的,此引腳與高電平相接超過 24 個振蕩周期后,51 單 片機即進入芯片內(nèi)部復(fù)位狀態(tài),而且

48、一直在此狀態(tài)下等待,直到 reset 引腳轉(zhuǎn)為低電平后, 才檢查 ea 引腳是高電平或低電平,若為高電平則執(zhí)行芯片內(nèi)部的程序代碼,若為低電平便 會執(zhí)行外部程序。 圖 3.7 at89c51 主控電路圖 3.53.5 輸入鍵盤輸入鍵盤 由于電子秤需要設(shè)置單價(十個數(shù)字鍵) ,還具有確認(rèn)、復(fù)位等功能,總共需設(shè)置 16 個 第三章 系統(tǒng)硬件電路設(shè)計 18 鍵。鍵盤的擴展有使用以下方案: 采用矩陣式鍵盤:矩陣式鍵盤的特點是把檢測線分成兩組,一組為行線,一組列線,按 鍵放在行線和列線的交叉點上。圖 2.6 給出了一個 44 的矩陣鍵盤結(jié)構(gòu)的鍵盤接口電路, 圖中的每一個按鍵都通過不同的行線和列線與主機相連

49、這。44 矩陣式鍵盤共可以安裝 16 個鍵,但只需要 8 條測試線。當(dāng)鍵盤的數(shù)量大于 8 時,一般都采用矩陣式鍵盤。 結(jié)合本設(shè)計的實際要求,16 個按鍵使用 44 矩陣式鍵盤。 3.5.1 矩陣鍵盤仿真及接線圖 圖 3.8 矩陣鍵盤圖 3.5.2 矩陣鍵盤工作原理 把單片機的 p1.0p1.7 端口通過 8 聯(lián)撥動撥碼開關(guān)連接到“44 行列式鍵盤” ,其中 p1.0-p1.3 作為列線,p1.4-p1.7 作為行線,把單片機的 p0.0p0.6 端口連接到 lcd 顯示器 區(qū)域中對應(yīng)的 db0-db7 端口上;系統(tǒng)首先通過 cpu 對全部鍵盤進行掃描,即把第一根行線置 為“0”狀態(tài),其余行線置

50、于“1”狀態(tài),讀入輸入緩沖器的狀態(tài),若其狀態(tài)全為“1”表明 該行無鍵按下,再將第二根行線置為“0”狀態(tài),同樣讀入輸入緩沖器的狀態(tài),如其狀態(tài)也 全為“1” ,則置第一根行線置為“0”狀態(tài),以此類推。如讀入輸入緩沖器的狀態(tài)不全為 “1” ,確定哪一根列線為“0”狀態(tài),當(dāng)某個鍵的行線和列線都為“0”狀態(tài)時,表明該鍵按 下。最后通過顯示程序?qū)⒃撴I的序號顯示出來。 3.63.6 輸出顯示輸出顯示 數(shù)據(jù)顯示部分可以有以下兩種方案供選擇:一是 led 數(shù)碼管顯示,二是 lcd 液晶顯示兩 種選擇. 19 19 采用可以設(shè)置顯示單價,金額,中文等的 lcd,它具有低功耗、可視面大、畫面友好及 抗干擾能力強等功

51、能,其顯示技術(shù)已得到廣泛應(yīng)用。 lcd 顯示器的工作原理:液晶顯示器的主要材料是液態(tài)晶體。它在特定的溫度范圍內(nèi), 既具有液體的流動性,又具有晶體的某些光學(xué)特性,其透明度和顏色隨電場、磁場、光照度 等外界條件變化而變化。因此,用液晶做成顯示器件,就可以把上訴外界條件的變化反映出 來從而形成現(xiàn)實的效果。 考慮到本題目要求中文顯示,數(shù)碼管無法滿足,只能考慮用帶有中文字庫的液晶顯示器。 由于可以分頁顯示,無需太大屏幕,最終選擇點陣式 12864 型 ampire128x64 3.6.1 lcd12864 介紹 管腳一共 18 個。cs1 左半屏片選端,cs2 右半屏片選端;v0 液晶顯示驅(qū)動電壓:rs

52、 數(shù) 據(jù)指令選擇信號,h 為數(shù)據(jù),l 為指令:r/w 讀寫選擇信號,h 為讀,l 為寫;e 為 lcd 使能 端, r/w 為 l 時,e 信號下降沿鎖存 db7-db0;r/w 為 h 時,e 為 h,ddram 數(shù)據(jù)讀到 db7- db0,如果只寫不讀的話可以接地處理。db0-db7 數(shù)據(jù)傳輸端口。rst 復(fù)位信號 表 3.2 指令表 指 令 碼指令 r/wd/id7d6d5d4d3d2d1d0 功能 顯示 on/off 0000111111/0 控制顯示器的開關(guān), 不影響 ddram 中數(shù)據(jù) 和內(nèi)部狀態(tài) 顯示起 始行 0011 顯示起始行 (0.63) 指定顯示屏從 ddram 的哪一行

53、開始顯示數(shù) 據(jù) 設(shè)置 x 地址 0010111x:0.7 設(shè)置 ddram 中的頁地 址 設(shè)置 y 地址 0001 y 地址 (0.63) 設(shè)置 y 地址 讀狀態(tài) 10bus y 0on/o ff rst0000 讀取狀態(tài) 寫顯示 數(shù)據(jù) 01 顯示數(shù)據(jù)將數(shù)據(jù)線上的數(shù)據(jù) db7-db0 寫入 ddram 中 讀顯示 數(shù)據(jù) 11 顯示數(shù)據(jù)將 ddram 中的數(shù)據(jù)讀 入 db7-db0 一共有 7 個指令,下面分別加以說明 第三章 系統(tǒng)硬件電路設(shè)計 20 顯示開關(guān) 0 x3e:關(guān);0 x3f:開 (r/w=0,rs=0) 顯示起始行 0 xc0+i :i 取值 0 至 63 (r/w=0,rs=0)

54、 設(shè)置 ddram 頁地址(x 地址) 0 xb8+i: i 取值 0 至 7(r/w=0,rs=0) 設(shè)置 y 地址 0 x40+i:i 取值 0 至 63 (r/w=0,rs=0) 讀狀態(tài) r/w 為 h 時,暫未弄明白 寫數(shù)據(jù) 將數(shù)據(jù)線上的數(shù)據(jù) db7-db0 寫到 ddram ,y 地址指針自動加 1 (r/w=0,rs=1)讀數(shù)據(jù) 將 ddram 中的數(shù)據(jù)讀入 1 數(shù)據(jù)線 db7-db0,y 地址指針自動加 1(r/w=1,rs=1)用到的很少) ddram 地址表(注意 db0-db7 在 ddram 里的存儲空間,豎起排列) 表 3.3 ddram 地址表 cs2=1cs1=1

55、y=01.626301.6263 行號 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 0-7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 db0-db7 8-55 x=0.x=7 db0- db7 db0- db7 db0- db7 db0- db7 db0- db7 db0- db7 db0- db7 db0- db7 db0- db7 db0- db7 56-63 寫操作時序 圖

56、 3.9 寫操作時序圖 讀操作時序 21 21 圖 3.10 讀操作時序圖 3.6.2 lcd 顯示電路圖 圖 3.11 lcd 顯示屏電路圖 3.6.3 lcd 的驅(qū)動 考慮到 lcd 的驅(qū)動問題故還需加上一個排阻 respack8,接在 51 單片機的 p0 口,因 為 p0 口內(nèi)部沒有上拉電阻,不能輸出高電平,所以要接上拉電阻。本設(shè)計中的排阻是 8 電 阻連載一起,他們有一個公共端 1 接 vcc。 圖 3.12 排阻的接線圖 第四章 系統(tǒng)軟件的設(shè)計 22 第四章 系統(tǒng)軟件的設(shè)計 本設(shè)計采用 c 語言編程,編譯環(huán)境為 keil uv3。 keil c51 是美國keil software

57、 公司出品的51 系列兼容單片機c 語言軟件開發(fā)系統(tǒng), 和匯編相比,c 在功能上、結(jié)構(gòu)性、可讀性、可維護性上有明顯的優(yōu)勢,因而易學(xué)易用。 keil c51 軟件提供豐富的庫函數(shù)和功能強大的集成開發(fā)調(diào)試工具,全windows 界面。 另外重要的一點,只要看一下編譯后生成的匯編代碼,就能體會到keil c51 生成的目標(biāo)代 碼效率非常之高,多數(shù)語句生成的匯編代碼很緊湊,容易理解。在開發(fā)大型軟件時更能體現(xiàn) 高級語言的優(yōu)勢。 keil c51 可以完成編輯、編譯、連接、調(diào)試、仿真等整個開發(fā)流程。開發(fā)人員可用ide 本身或其它編輯器編輯c 或匯編源文件,然后分別有c51 及a51 編輯器編譯連接生成單片

58、機 可執(zhí)行的二進制文件(.hex),然后通過單片機的燒寫軟件將hex 文件燒入單片機內(nèi)。 軟件主要三個方面:一是初始化系統(tǒng);二是按鍵檢測;三是數(shù)據(jù)采集、數(shù)據(jù)處理并進行 顯示。這三個方面的操作分別在主程序中來進行。程序采用模塊化的結(jié)構(gòu),這樣程序結(jié)構(gòu)清 楚,易編程和易讀性好,也便于調(diào)試和修改。 4.14.1 主程序設(shè)計主程序設(shè)計 系統(tǒng)上電后,初始化程序?qū)?ram 的 30h5fh 內(nèi)存單元清零。 主程序模塊主要完成編程芯片的初始化及按需要調(diào)用各模塊(子程序),在系統(tǒng)初始化 過程中,將系統(tǒng)設(shè)置成 5kg 量程,并寫 5kg 量程標(biāo)志。設(shè)計流程圖如圖 4.1 所示。 23 23 n y n n yy

59、圖 4.1 主程序流程圖 4.24.2 a/da/d 轉(zhuǎn)換啟動及數(shù)據(jù)讀取程序設(shè)計轉(zhuǎn)換啟動及數(shù)據(jù)讀取程序設(shè)計 a/d 轉(zhuǎn)換子程序主要是指在系統(tǒng)開始運行時,把稱重傳感器傳遞過來的模擬信號轉(zhuǎn)換成 開始 設(shè)置對棧指針 設(shè)置各中斷服務(wù)程 序入口 相關(guān)寄存器消零 設(shè)置顯示緩沖區(qū) 設(shè)置顯示初值 設(shè)置中斷優(yōu)先級及 觸發(fā)形式 調(diào)用執(zhí)行代碼轉(zhuǎn)換 程序 調(diào)用顯示子程序 int0 是否有 效? 調(diào)用鍵盤子程序 調(diào)用顯示子程序 啟動 a/d 轉(zhuǎn)換 int1 是由有 效? 調(diào)用程序處理子程 序 調(diào)用計算子程序 執(zhí)行數(shù)模轉(zhuǎn)換 調(diào)用顯示子程序 設(shè)置顯示子程序 重物是否移去? 返回 第四章 系統(tǒng)軟件的設(shè)計 24 數(shù)字信號并傳遞

60、到單片機所涉及到的程序設(shè)計。設(shè)計流程圖如圖 4-4 所示。 n n y y 圖 4.2 a/d 轉(zhuǎn)換子程序 4.34.3 鍵盤子程序鍵盤子程序 在程序中可以先判斷按鍵編碼,然后根據(jù)編碼將鍵盤代表的數(shù)值送到相應(yīng)的存儲單元, 再進行功能選擇或數(shù)據(jù)處理 n y n y 圖 4.3 鍵盤子程序 開始 啟動 a/d 轉(zhuǎn)換 a/d 轉(zhuǎn)換完? 成?、 、 數(shù)據(jù)儲存 數(shù)據(jù)顯示 有鍵按下? 延時, 有鍵按下? 鍵盤分析程序 返 回 開 始 25 25 4.44.4 顯示子程序顯示子程序 通過 lcd 顯示物品的重量、單價以及金額等。 顯 示 重 量 開始 顯 示 單 價 顯 示 金 額 返回 圖 4.4 顯示子

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論