數(shù)字電子技術(shù)實(shí)驗(yàn)指導(dǎo)書(shū)(B5)(1)36頁(yè)_第1頁(yè)
數(shù)字電子技術(shù)實(shí)驗(yàn)指導(dǎo)書(shū)(B5)(1)36頁(yè)_第2頁(yè)
數(shù)字電子技術(shù)實(shí)驗(yàn)指導(dǎo)書(shū)(B5)(1)36頁(yè)_第3頁(yè)
數(shù)字電子技術(shù)實(shí)驗(yàn)指導(dǎo)書(shū)(B5)(1)36頁(yè)_第4頁(yè)
數(shù)字電子技術(shù)實(shí)驗(yàn)指導(dǎo)書(shū)(B5)(1)36頁(yè)_第5頁(yè)
已閱讀5頁(yè),還剩31頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 第一章 數(shù)字電子技術(shù)基礎(chǔ)實(shí)驗(yàn)1.1 實(shí)驗(yàn)設(shè)備認(rèn)識(shí)及門(mén)電路功能測(cè)試一、實(shí)驗(yàn)?zāi)康?. 熟悉萬(wàn)用表及電子技術(shù)綜合實(shí)驗(yàn)平臺(tái)的使用方法; 2. 掌握門(mén)電路邏輯功能測(cè)試方法;3. 了解TTL器件和CMOS器件的使用注意事項(xiàng)。二、實(shí)驗(yàn)原理門(mén)電路的邏輯功能。三、實(shí)驗(yàn)設(shè)備與器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. 萬(wàn)用表 一塊3. 器件(1) 74LS02 一片(四二輸入或非門(mén))(2) 74HC86 一片(四二輸入異或門(mén))(3) 74LS03 一片(四二輸入與非門(mén)(OC))(4) 74LS00 一片(四二輸入與非門(mén))四、實(shí)驗(yàn)內(nèi)容和步驟1. 測(cè)試74LS02和74HC86的邏輯功能。注意CMOS電路的多余輸入端

2、不得懸空,應(yīng)按需要接成相應(yīng)的高低電平。表中VO為不加負(fù)載時(shí)的電壓,即開(kāi)路輸出電壓。表1.1-174LS0274HC86輸入輸出輸入輸出ABYVO(V)ABYVO(V)00110101001101012.OC門(mén)上拉電阻計(jì)算及邏輯功能測(cè)試2.1 OC門(mén)上拉電阻的計(jì)算OC門(mén)輸出端可以并聯(lián)連接,即OC門(mén)可以實(shí)現(xiàn)“線與”邏輯,但必須接一個(gè)合適的上拉電阻RL,計(jì)算方法如下: 式中: 負(fù)載門(mén)總輸入端數(shù) OC門(mén)并聯(lián)的個(gè)數(shù) 負(fù)載門(mén)個(gè)數(shù) IOH OC門(mén)輸出管截止時(shí)的漏電流(對(duì)于74LS03按IOH=50mA計(jì)算) ILM OC門(mén)輸出管導(dǎo)通時(shí)允許的最大灌電流(按VOL0.3V,ILM7.8mA估算) IIH 負(fù)載門(mén)

3、每個(gè)輸入端的高電平輸入電流(對(duì)于74LS00按IIH=0.01mA) IIL 每個(gè)負(fù)載門(mén)的低電平輸入電流(對(duì)于74LS00按IIL=-0.25mA估算) VCC 電源電壓(5V) VOH 輸出高電平(按3V估算) VOL 輸出低電平(按0.3V估算)表1.1-2ABCZY1Y2000111 圖1.1-12.2 OC門(mén)“線與”應(yīng)用將各OC門(mén)輸入端A、B和C分別接邏輯開(kāi)關(guān);Z、Y1和Y2分別接LED指示燈,連接電路圖如圖1.1-1所示。當(dāng)輸入端A、B和C取不同值時(shí),觀察Z、Y1和Y2的變化情況,填入表1.1-2中。五、預(yù)習(xí)要求1. 閱讀實(shí)驗(yàn)指導(dǎo)書(shū),了解電子技術(shù)綜合實(shí)驗(yàn)平臺(tái)的結(jié)構(gòu);2. 了解所有器件

4、(74LS00,74LS02,74HC86,74LS03)的引腳結(jié)構(gòu);3. 熟悉門(mén)電路的輸入和輸出特性。4. 熟悉OC門(mén)上拉電阻RL的計(jì)算方法及邏輯功能,并求出RL的值。5. 了解TTL電路和CMOS電路的使用注意事項(xiàng)。六、思考題1. 試寫(xiě)出圖1.1-1中Y1和A、B、C的邏輯關(guān)系(設(shè)RL取值適當(dāng))。2. OC門(mén)亦能形成總線結(jié)構(gòu),試簡(jiǎn)述TS門(mén)和OC門(mén)構(gòu)成總線結(jié)構(gòu)的特點(diǎn)。實(shí)驗(yàn)1.2 Multisim軟件應(yīng)用邏輯函數(shù)的化簡(jiǎn)與變換一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)使用電子設(shè)計(jì)與仿真軟件Multisim ;2. 學(xué)習(xí)使用Multisim 中“邏輯轉(zhuǎn)換器”完成邏輯函數(shù)的化簡(jiǎn)與變換。二、實(shí)驗(yàn)原理 邏輯函數(shù)的表示方法和

5、化簡(jiǎn)方法。三、實(shí)驗(yàn)設(shè)備及器件1. 計(jì)算機(jī) 一臺(tái)四、實(shí)驗(yàn)內(nèi)容及要求啟動(dòng)Multisim 以后,計(jì)算機(jī)屏幕上將出現(xiàn)如圖1.2-1所示的用戶界面。這時(shí)電路圖設(shè)計(jì)窗口是空白的。在右側(cè)的儀表工具欄中找到“Logic Converter”(邏輯轉(zhuǎn)換器)按鈕 ,單擊此按鈕后拖拽到電路圖設(shè)計(jì)窗口,然后單擊放置在合適位置。雙擊邏輯轉(zhuǎn)換器圖標(biāo),屏幕上便會(huì)彈出邏輯轉(zhuǎn)換器的操作窗口“Logic Converter XLC1”。圖1.2-1 Multisim 用戶界面邏輯轉(zhuǎn)換器對(duì)于數(shù)字信號(hào)的分析是非常方便的,它可以通過(guò)與電路的連接導(dǎo)出真值表、邏輯表達(dá)式,也可以從真值表、邏輯表達(dá)式導(dǎo)出電路的連接。控制面板如圖1.2-2所

6、示,左側(cè)為真值表輸入、顯示欄;右側(cè)控制按鈕功能自上而下分別為:電路轉(zhuǎn)換為真值表、真值表轉(zhuǎn)換為邏輯表達(dá)式、真值表轉(zhuǎn)化為最簡(jiǎn)邏輯表達(dá)式、邏輯表達(dá)式轉(zhuǎn)換為真值表、邏輯表達(dá)式轉(zhuǎn)換為與、或、非門(mén)組成的電路圖、邏輯表達(dá)式轉(zhuǎn)換為與非門(mén)電路圖。1. 從真值表、邏輯表達(dá)式導(dǎo)出電路圖(1) 將表2.15-1所示的真值表鍵入到邏輯轉(zhuǎn)換器操作窗口左半部分的表格中。如圖2.15-2所示,單擊A、B、C、D四個(gè)按鈕啟動(dòng)輸入端,Y的值通過(guò)單擊右邊的小問(wèn)號(hào)來(lái)選擇需要的值。然后點(diǎn)擊邏輯轉(zhuǎn)換器操作窗口右半部分的上邊第二個(gè)按鈕,即可完成從真值表到邏輯式的轉(zhuǎn)換。轉(zhuǎn)換結(jié)果顯示在邏輯轉(zhuǎn)換器操作窗口底部的一欄中,得到 (1.15.1)從本

7、例可知,從真值表轉(zhuǎn)換來(lái)的邏輯式是以最小項(xiàng)之和形式給出的。表1.2-1 函數(shù)真值表 ABCDY00000000110010000110100001011011010111圖1.2-2 把真值表輸入邏輯轉(zhuǎn)換器11000010010101001011110011010111011111圖1.2-3 將真值表轉(zhuǎn)換為最簡(jiǎn)邏輯表達(dá)式(2)為了將式(1.15.1)化為最簡(jiǎn)與或形式,只需要點(diǎn)擊邏輯轉(zhuǎn)換器操作窗口右半部分上邊的第三個(gè)按鈕,化簡(jiǎn)結(jié)果便立刻出現(xiàn)在操作窗口底部的一欄中,如圖1.2-3所示。得到的化簡(jiǎn)結(jié)果為。(3)為了將上述邏輯表達(dá)式轉(zhuǎn)化為基本的與、或、非門(mén)組成的電路圖,只需要點(diǎn)擊邏輯轉(zhuǎn)換器操作窗口右半

8、部分上邊的第五個(gè)按鈕,電路圖便立刻出現(xiàn)在電路圖設(shè)計(jì)窗口上,如圖1.2-4所示。(4)如果將上述邏輯表達(dá)式轉(zhuǎn)化為與非門(mén)組成的電路圖,只需要點(diǎn)擊邏輯轉(zhuǎn)換器操作窗口右半部分上邊的第六個(gè)按鈕,電路圖便立刻出現(xiàn)在電路圖設(shè)計(jì)窗口上,如圖1.2-5所示。(5)如需將某個(gè)邏輯表達(dá)式轉(zhuǎn)化為真值表,需在邏輯轉(zhuǎn)換器操作窗口的底部一欄中輸入邏輯表達(dá)式,然后點(diǎn)擊邏輯轉(zhuǎn)換器操作窗口右半部分上邊的第四個(gè)按鈕圖1.2-4 與、或、非門(mén)組成的電路圖 圖1.2-5 與非門(mén)組成的電路圖2. 從電路圖導(dǎo)出真值表、邏輯表達(dá)式如需將某個(gè)電路圖轉(zhuǎn)化為真值表,需首先將電路中的輸入節(jié)點(diǎn)連接到邏輯轉(zhuǎn)換器相應(yīng)的輸入節(jié)點(diǎn)上,將電路中的輸出節(jié)點(diǎn)連接到

9、邏輯轉(zhuǎn)換器右上角的輸出節(jié)點(diǎn)上,如圖1.2-6所示。然后點(diǎn)擊邏輯轉(zhuǎn)換器操作窗口右半部分上邊的第一個(gè)按鈕,真值表便會(huì)在邏輯轉(zhuǎn)換器上顯示出來(lái);如需得到此電路圖的邏輯表達(dá)式,則點(diǎn)擊邏輯轉(zhuǎn)換器操作窗口右半部分上邊的第二個(gè)按鈕,如圖1.2-7所示。 圖1.2-6 電路圖與邏輯表達(dá)式相連接 圖1.2-7 從電路圖導(dǎo)出的真值表、邏輯表達(dá)式五、預(yù)習(xí)要求1. 邏輯代數(shù)的基本原理及邏輯函數(shù)的化簡(jiǎn)和表示方法;2. 學(xué)習(xí)軟件Multisim 的基本操作方法。六、思考題1. 利用軟件Multisim 將下列邏輯表達(dá)式轉(zhuǎn)換為最簡(jiǎn)與或形式,并畫(huà)出全部由與非邏輯單元組成的邏輯電路圖。2. 利用軟件Multisim 寫(xiě)出圖1.2

10、-8所示邏輯電路的輸出邏輯函數(shù)式。圖1.2-8實(shí)驗(yàn)1.3 門(mén)電路的電壓傳輸特性和輸入負(fù)載特性測(cè)試一、實(shí)驗(yàn)?zāi)康?. 掌握門(mén)電路的電壓傳輸特性和輸入負(fù)載特性的測(cè)試方法;2. 了解TTL器件和CMOS器件的使用特點(diǎn)。二、實(shí)驗(yàn)原理門(mén)電路的電壓傳輸特性和輸入負(fù)載特性。三、實(shí)驗(yàn)設(shè)備與器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. 萬(wàn)用表 兩塊3. 器件(1) 74LS04 一片(六反向器)(2) 74HC04 一片(六反向器)(3) 74LS00 一片(四二輸入與非門(mén))四、實(shí)驗(yàn)內(nèi)容和步驟1. 測(cè)試非門(mén)的電壓傳輸特性。按圖1.3-1連好線路。調(diào)節(jié)10K電位器,使VI在0+5V間變化,記錄相應(yīng)的輸入電壓和輸出電壓的

11、值填入表1.3-1中,并畫(huà)出相應(yīng)的電壓傳輸特性曲線。 圖1.3-1表1.3-1輸入VI(V)00.40.811.422.533.444.6574HC04VO(V)74LS04VO(V)2. 測(cè)試四二輸入與非門(mén)74LS00的輸入負(fù)載特性。2.1 測(cè)試電路如圖1.3-2所示。請(qǐng)用萬(wàn)用表測(cè)試,將V 隨R變化的值填入表1.3-2中。萬(wàn)用表內(nèi)阻為10M。 圖1.3-2表1.3-2R()1002401K4.7K5.1K6.2K10KV(V)2.2 測(cè)試電路如圖1.3-3所示。請(qǐng)用萬(wàn)用表測(cè)試,將V和VO 隨R變化的值填入表1.3-3中。注意電壓源使用模擬信號(hào)源。圖1.3-3表1.3-3電路圖a圖b圖c圖VI

12、懸空0.2V3.4V0.2V3.4V0.2V3.4V理論值V(V)VO(V)實(shí)測(cè)值V(V)VO(V)五、預(yù)習(xí)要求1. 了解所有器件(74LS00,74HC04,74LS04)的引腳結(jié)構(gòu);2. 熟悉門(mén)電路的輸入負(fù)載特性。六、思考題1. 簡(jiǎn)述表1.3-3中b圖和c圖理論值的推導(dǎo)過(guò)程。2. 在圖1.3-3中,若門(mén)電路換成74HC00,試將表1.3-3中的理論值寫(xiě)出來(lái)。并簡(jiǎn)述推導(dǎo)過(guò)程。實(shí)驗(yàn)1.4 譯碼器一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)并掌握中、小規(guī)模芯片(MSI&SSI)實(shí)現(xiàn)各種組合邏輯電路的方法;2. 學(xué)習(xí)格雷碼轉(zhuǎn)換二進(jìn)制代碼;3. 學(xué)習(xí)用低電平驅(qū)動(dòng)LED的方法。二、實(shí)驗(yàn)原理1. 流水燈原理流水燈,即使輸出端

13、的八個(gè)LED發(fā)光二極管依次點(diǎn)亮、熄滅,形成流水狀。原理如圖1.4-1所示。圖1.4-1三、實(shí)驗(yàn)設(shè)備及器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. 74HC138 一片(3線8線譯碼器)3. 74HC86 一片(四二輸入異或門(mén))四、實(shí)驗(yàn)內(nèi)容及要求1. 流水燈(1)用異或門(mén)將三位格雷碼轉(zhuǎn)換為二進(jìn)制代碼。格雷碼和二進(jìn)制代碼的對(duì)照關(guān)系參照表1.4-1。表1.4-1格雷碼二進(jìn)制代碼000000001001011010010011110100111101101110100111轉(zhuǎn)換電路由同學(xué)們自己完成。連接電路時(shí),先在電路圖上標(biāo)明引腳號(hào)再連接電路,這樣效率比較高。(2)轉(zhuǎn)換的二進(jìn)制代碼作為三八譯碼器的輸入端,

14、將三八譯碼器的輸出端接顯示模塊的發(fā)光二極管點(diǎn)陣(將顯示模塊后面發(fā)光二極管點(diǎn)陣的跳線全部跳到CP端,變成共陽(yáng)極)。按格雷碼順序撥動(dòng)開(kāi)關(guān)即可看到流水燈現(xiàn)象。這部分電路自己完成。要求將設(shè)計(jì)過(guò)程寫(xiě)在實(shí)驗(yàn)報(bào)告上。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí)。2. 自行設(shè)計(jì)電路,畫(huà)出接線圖(用指定器件設(shè)計(jì))。六、思考題1簡(jiǎn)述流水燈實(shí)驗(yàn)中輸入端采用格雷碼的好處。實(shí)驗(yàn)1.5 數(shù)據(jù)選擇器一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)并掌握中、小規(guī)模芯片(MSI&SSI)實(shí)現(xiàn)各種組合邏輯電路的方法;2. 了解卡諾圖化簡(jiǎn)中約束項(xiàng)的意義。二、實(shí)驗(yàn)原理1. 用門(mén)電路及數(shù)據(jù)選擇器設(shè)計(jì)帶約束項(xiàng)組合邏輯電路原理給定邏輯函數(shù),約束條件。2. 化簡(jiǎn)邏輯

15、函數(shù)先將邏輯函數(shù)化為與或形式,然后畫(huà)出卡諾圖。圖1.5-1 求Di的方法以A、B、C作為地址輸入端,求出Di。方法兩種,如圖1.5-1所示,可按常規(guī)方法畫(huà)出卡諾圖求出Di,也可將地址項(xiàng)A、B、C放在一起求出Di。當(dāng)Di含有約束項(xiàng)時(shí),Di取值將不唯一,可將該項(xiàng)接撥動(dòng)開(kāi)關(guān),以隨時(shí)調(diào)整其值。3. 構(gòu)造邏輯函數(shù)的約束項(xiàng)。構(gòu)造約束項(xiàng),使約束項(xiàng)的輸出端與數(shù)據(jù)選擇器的輸出端相與。三、實(shí)驗(yàn)設(shè)備及器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. 74HC00 一片(四二輸入與非門(mén))3. 74HC151 一片(八選一數(shù)據(jù)選擇器)4. 74HC32(可選) 一片(四二輸入或門(mén))5. 74HC51(可選) 一片(3-3、2-

16、2輸入與或非門(mén))6. 74HC08(可選) 一片(四2輸入與門(mén))四、實(shí)驗(yàn)內(nèi)容及要求1. 數(shù)據(jù)選擇器設(shè)計(jì)帶約束項(xiàng)組合邏輯電路(1)選定A、B、C為地址輸入端,畫(huà)出卡諾圖,求出Di。當(dāng)Di不能固定時(shí),可通過(guò)撥動(dòng)開(kāi)關(guān)來(lái)選擇。(2)設(shè)計(jì)約束電路。方法一是將約束電路的輸出端與數(shù)據(jù)選擇器的輸出端相與,此處可通過(guò)與或非門(mén)來(lái)實(shí)現(xiàn)。方法二是將約束電路的輸出端接入數(shù)據(jù)選擇器的控制端來(lái)實(shí)現(xiàn),此處可通過(guò)與或門(mén)來(lái)實(shí)現(xiàn)。原理如圖1.5-2所示。圖1.5-2 用數(shù)據(jù)選擇器實(shí)現(xiàn)帶約束項(xiàng)邏輯函數(shù)原理圖 (3)改變Di中不確定值的邏輯狀態(tài),看一下對(duì)輸出值是否有影響。體會(huì)一下什么是約束。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí)

17、。2. 自行設(shè)計(jì)電路,畫(huà)出接線圖(用指定器件設(shè)計(jì))。六、思考題1. 簡(jiǎn)述數(shù)據(jù)選擇器的工作原理。實(shí)驗(yàn)1.6 代碼轉(zhuǎn)換顯示實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)并掌握中、小規(guī)模芯片(MSI&SSI)實(shí)現(xiàn)各種組合邏輯電路的方法;2. 學(xué)習(xí)二進(jìn)制代碼轉(zhuǎn)換8421BCD碼;3. 學(xué)習(xí)數(shù)碼管顯示的方法。二、實(shí)驗(yàn)原理1. 代碼轉(zhuǎn)換將四位二進(jìn)制代碼轉(zhuǎn)換為5位8421BCD代碼。2. 代碼顯示將輸出的8421BCD碼通過(guò)顯示譯碼器74LS48顯示。三、實(shí)驗(yàn)設(shè)備及器件 1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái) 2. 74LS85 一片(數(shù)值比較器) 3. 74LS283 一片(超前進(jìn)位加法器)四、實(shí)驗(yàn)內(nèi)容及要求1. 測(cè)試74LS85

18、的邏輯功能表1.6-12. 代碼轉(zhuǎn)換將四位二進(jìn)制代碼轉(zhuǎn)換為5位8421BCD代碼。真值表見(jiàn)表1.6-2所示。由表1.6-2可以看到,二進(jìn)制代碼在09時(shí),8421BCD碼與二進(jìn)制代碼相同,當(dāng)二進(jìn)制代碼在1015時(shí),8421BCD碼等于二進(jìn)制代碼加6。F4為產(chǎn)生的進(jìn)位。因此可利用數(shù)值比較器和加法器實(shí)現(xiàn)上述的轉(zhuǎn)換過(guò)程。表1.6-2二進(jìn)制代碼8421BCD碼二進(jìn)制代碼8421BCD碼D3D2D1D0F4F3F2F1F0D3D2D1D0F4F3F2F1F0000000000100001000000100001100101001001000010101010000001100011101110001010

19、0001001100100100101001011101100110110001101110101000111001111111101013. 代碼顯示將得到的8421BCD碼接到譯碼顯示模塊,使用之前先熟悉一下74LS48的功能。驗(yàn)證、和的功能(在模塊上改變相應(yīng)引腳跳線的位置即可)。譯碼顯示模塊如圖4-4-1所示,其中L1L4為左側(cè)數(shù)碼管的輸入數(shù)據(jù)接口,R1R4為右側(cè)數(shù)碼管的輸入數(shù)據(jù)接口,8421BCD碼的低四位接R4R1,高位接L1,其余L2L4接地。這樣就可將輸入的四位二進(jìn)制代碼轉(zhuǎn)換為8421BCD碼并用數(shù)碼管顯示了??刂乒苣_說(shuō)明如下:(1)控制引腳中L代表左,R代表右。圖1.6-1(2

20、)LT引腳:跳線帽跳到左邊接高電平1,跳到右邊接低電平0。(3)RBI引腳:跳線帽跳到左邊接高電平1,跳到右邊接低電平0。(4)BI/RBO引腳:當(dāng)作為輸入引腳BI時(shí),跳線帽跳到上邊接低電平0,跳到下邊接高電平1。當(dāng)作為輸出引腳RBO使用時(shí),跳線帽跳到中間,左邊的香蕉頭為其接入孔。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí)。2. 自行設(shè)計(jì)電路,畫(huà)出接線圖(用指定器件設(shè)計(jì))。六、思考題1簡(jiǎn)述共陰極數(shù)碼管的顯示原理。2簡(jiǎn)述顯示譯碼器控制端的功能及使用方法。實(shí)驗(yàn)1.7 編碼器實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)并掌握集成編碼器74HC148;2. 學(xué)習(xí)組合電路尤其是輸入或輸出含有低電平有效信號(hào)的組合邏輯電路

21、的設(shè)計(jì)方法。二、實(shí)驗(yàn)原理設(shè)計(jì)列車(chē)發(fā)車(chē)系統(tǒng),給出發(fā)車(chē)信號(hào)。要求:動(dòng)車(chē)組申請(qǐng)發(fā)車(chē)時(shí),不管特快、快車(chē)、普快是否申請(qǐng)發(fā)車(chē),只允許給動(dòng)車(chē)組發(fā)車(chē)信號(hào);動(dòng)車(chē)組沒(méi)有申請(qǐng)發(fā)車(chē),若特快申請(qǐng)時(shí),不管快車(chē)、普快是否申請(qǐng),只允許給特快發(fā)車(chē)信號(hào);動(dòng)車(chē)組和特快都沒(méi)有申請(qǐng)發(fā)車(chē),若快車(chē)申請(qǐng)時(shí),不管普快是否申請(qǐng),只允許給快車(chē)發(fā)車(chē)信號(hào);動(dòng)車(chē)組、特快、快車(chē)都沒(méi)有申請(qǐng)發(fā)車(chē),只有普快申請(qǐng)時(shí),才允許給普快發(fā)車(chē)信號(hào);當(dāng)動(dòng)車(chē)組、特快、快車(chē)和普快都沒(méi)有申請(qǐng)發(fā)車(chē)時(shí),沒(méi)有任何發(fā)車(chē)信號(hào)。原理框圖如圖1.7-1所示:圖1.7-1三、實(shí)驗(yàn)設(shè)備及器件 1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái) 2. 74HC148 一片(優(yōu)先編碼器) 3. 可選芯片:(1)輸出高電

22、平有效時(shí):74HC04、74HC08、74HC11(2)輸出低電平有效時(shí):74HC04、74HC32四、實(shí)驗(yàn)內(nèi)容及要求由于只有四種火車(chē),即四個(gè)輸入信號(hào),故只需74HC148的4個(gè)輸入即可,此處四種火車(chē)的申請(qǐng)按鈕分別接(四種輸入情況只需兩個(gè)輸出引腳),只需和兩位輸出即可。 當(dāng)分別輸入為0時(shí),輸出分別為00,01,10,11。注意:選用時(shí),引腳接高、低電平均可;選用時(shí),引腳必須接成1。實(shí)驗(yàn)一:以代表輸出的4個(gè)指示燈,高電平有效,列出與的邏輯表達(dá)式,這部分同學(xué)們自己完成。當(dāng)四種火車(chē)申請(qǐng)的按鈕均未按下時(shí),四個(gè)指示燈應(yīng)全不亮,74HC148的輸出端應(yīng)為11,與普快按下按鈕的狀態(tài)相同,需加以區(qū)分,可利用輸

23、出控制端或來(lái)實(shí)現(xiàn)。這部分電路同學(xué)們自己完成。發(fā)車(chē)信號(hào)用LED顯示,實(shí)驗(yàn)時(shí),需將顯示模塊背面的跳線全部跳到CN端。實(shí)驗(yàn)二:以代表輸出的4個(gè)指示燈,低電平有效,可得與的邏輯表達(dá)式,這部分同學(xué)們自己完成。同實(shí)驗(yàn)一一樣,當(dāng)四種火車(chē)申請(qǐng)的按鈕均未按下時(shí),與普快按下按鈕的狀態(tài)相同,需加以區(qū)分。方法同實(shí)驗(yàn)一。實(shí)驗(yàn)時(shí),需將顯示模塊背面的跳線全部跳到CP端。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí)。2. 寫(xiě)出完整的推導(dǎo)過(guò)程,自行設(shè)計(jì)電路,畫(huà)出接線圖(用指定器件設(shè)計(jì))。六、思考題1真值表如表1.7-1所示,試寫(xiě)出邏輯表達(dá)式。表1.7-1ABY 001010101111實(shí)驗(yàn)1.8 搶答器實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 掌

24、握集成觸發(fā)器的邏輯功能測(cè)試方法;2. 學(xué)習(xí)用D觸發(fā)器構(gòu)成時(shí)序邏輯電路的方法;3. 學(xué)習(xí)揚(yáng)聲器的驅(qū)動(dòng)方法。二、實(shí)驗(yàn)原理1. 每個(gè)參賽者控制一個(gè)按鈕,用按動(dòng)按鈕的方式發(fā)出搶答信號(hào);2. 競(jìng)賽主持人另有一個(gè)按鈕,用于將電路復(fù)位。3. 競(jìng)賽開(kāi)始后,先按動(dòng)按鈕者將對(duì)應(yīng)的一個(gè)發(fā)光二極管點(diǎn)亮,同時(shí)揚(yáng)聲器發(fā)出響聲,此后其他2人再按動(dòng)按鈕對(duì)電路不起作用。4*有人搶答時(shí)揚(yáng)聲器發(fā)出2秒鐘、1KHZ的音響(揚(yáng)聲器可由100Hz的矩形脈沖直接驅(qū)動(dòng))。(選做)基本要求部分參考電路如圖1.8-1所示。圖1.8-1圖1.8-1設(shè)置了四個(gè)按鈕,K0、K1和K2由三個(gè)參賽控制者控制(按下為“1”),J由主持人控制(按下為“0”)

25、。揚(yáng)聲器的使用方法:左下角兩個(gè)跳線帽同時(shí)跳到左邊,實(shí)現(xiàn)普通聲源的功能,需要在輸入端加脈沖才能讓揚(yáng)聲器發(fā)聲;左下角兩個(gè)跳線帽同時(shí)跳到右邊,實(shí)現(xiàn)報(bào)警聲源的功能,在輸入端加高電平就能讓揚(yáng)聲器發(fā)聲。實(shí)驗(yàn)時(shí),兩種方法用一種即可。(1)競(jìng)賽開(kāi)始前,主持人按一下按鈕J,使三個(gè)觸發(fā)器均清零(指示燈不亮),各端均為1,這三個(gè)1信號(hào)一方面控制揚(yáng)聲器不發(fā)聲,另一方面使G1門(mén)打開(kāi)門(mén),CLK脈沖可以加到各觸發(fā)器的脈沖輸入端C1端。(2)競(jìng)賽開(kāi)始,任一按鈕按下,相應(yīng)觸發(fā)器置1(相應(yīng)指示燈亮),其,該0信號(hào)一方面使揚(yáng)聲器發(fā)聲,另一方面封鎖G1門(mén),CLK脈沖加不到各觸發(fā)器的C1端,其他參賽者再按下按鈕已經(jīng)不起作用。(3)主持

26、人按下按鈕J,給出信號(hào),恢復(fù)搶答前的狀態(tài)。三、實(shí)驗(yàn)設(shè)備及器件 1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái) 2. 74HC175 一片(四D觸發(fā)器) 3. 74HC20 一片(二4輸入與非門(mén))四、實(shí)驗(yàn)內(nèi)容及要求1. D觸發(fā)器邏輯功能測(cè)試將CLK接窄脈沖輸出端,和1D端節(jié)邏輯開(kāi)關(guān),1Q端接LED指示燈。實(shí)驗(yàn)數(shù)據(jù)寫(xiě)入表1.8-1。 表1.8-1 CLKDQQ*Q01001011101012. 搶答器(1)按圖1.8-1接線。(2)將K0、K1、K2和J分別接到邏輯開(kāi)關(guān)上。(3)按照設(shè)計(jì)要求,檢查電路的功能。填寫(xiě)表1.8-2。表1.8-2JK0K1K2Q0Q1Q2揚(yáng)聲器0100011(先)11(先)11(先)五

27、、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí)。六、思考題1. 如果要顯示搶答優(yōu)先者的序號(hào)(0、1和2)應(yīng)該用什么芯片?2. 在搶答前后,觸發(fā)器的C1端分別得到的是什么信號(hào)?實(shí)驗(yàn)1.9 時(shí)序電路實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 掌握邊沿JKFF的功能、動(dòng)作特點(diǎn);2. 掌握用邊沿JKFF設(shè)計(jì)同步時(shí)序電路的方法;3. 掌握用中規(guī)模集成電路計(jì)數(shù)器設(shè)計(jì)和實(shí)現(xiàn)任意進(jìn)制計(jì)數(shù)器的方法; 4. 熟悉集成計(jì)數(shù)器的邏輯功能和各控制端的作用,弄清同步清零和異步清零的區(qū)別;5. 熟悉集成計(jì)數(shù)器的級(jí)聯(lián)擴(kuò)展; 二、實(shí)驗(yàn)原理同步時(shí)序邏輯電路的設(shè)計(jì)方法。三、實(shí)驗(yàn)內(nèi)容及要求1. 用雙J-K負(fù)邊沿觸發(fā)器74LS112實(shí)現(xiàn)同步時(shí)序電路。其邏輯功能

28、為:同步十進(jìn)制加法計(jì)數(shù)器,能自啟動(dòng),有進(jìn)位輸出。設(shè)計(jì)過(guò)程同學(xué)們自己完成。2. 用同步二進(jìn)制計(jì)數(shù)器74HC161實(shí)現(xiàn)36進(jìn)制計(jì)數(shù)器,要求使用同步置數(shù)端,整體置數(shù)實(shí)現(xiàn)。電路圖同學(xué)們自己完成。四、實(shí)驗(yàn)設(shè)備及器件1. 數(shù)字電路實(shí)驗(yàn)邏輯箱 一臺(tái)2. 74LS112 兩片(雙JK負(fù)邊沿觸發(fā)器)3. 74LS20 兩片(雙四輸入與非門(mén))4. 74LS04 一片(六反向器)5. 74LS00 一片(四二輸入與非門(mén))6. 74HC161 二片(同步十進(jìn)制計(jì)數(shù)器芯片)7. 74HC00 一片(四二輸入與非門(mén))五、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí);2. 課前按實(shí)驗(yàn)內(nèi)容完成題目設(shè)計(jì):畫(huà)出實(shí)驗(yàn)電路圖。(主要設(shè)計(jì)

29、過(guò)程要填寫(xiě)在實(shí)驗(yàn)報(bào)告中)六、思考題1. 若想實(shí)現(xiàn)100進(jìn)制的計(jì)數(shù)器,則需多少個(gè)觸發(fā)器?2. 若用兩個(gè)六進(jìn)制計(jì)數(shù)器實(shí)現(xiàn)36進(jìn)制計(jì)數(shù)器,如何實(shí)現(xiàn)?芯片采用74HC161,可輔助其它門(mén)電路,畫(huà)出原理圖。實(shí)驗(yàn)1.10 順序脈沖發(fā)生實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)順序脈沖信號(hào)產(chǎn)生的方法;2. 學(xué)習(xí)計(jì)數(shù)器、譯碼器的使用方法。3. 學(xué)習(xí)用低電平驅(qū)動(dòng)LED的方法。二、實(shí)驗(yàn)原理1. 流水燈原理在一些數(shù)字系統(tǒng)中,有時(shí)需要系統(tǒng)按照事先規(guī)定的順序進(jìn)行一系列的操作。這就要求系統(tǒng)的控制部分能給出一組在時(shí)間上有一定先后順序的脈沖信號(hào),再用這組脈沖形成所需要的各種控制信號(hào)。常用的方法一般有兩種:采用環(huán)形計(jì)數(shù)器形成移位寄存器構(gòu)成;采

30、用計(jì)數(shù)器和譯碼器構(gòu)成。流水燈,即多個(gè)LED發(fā)光二極管依次點(diǎn)亮、熄滅,形成流水狀。當(dāng)輸出信號(hào)為順序脈沖時(shí)就能形成流水燈。本實(shí)驗(yàn)采用第二種方案,原理框圖如圖1.10-1所示。圖1.10-1三、實(shí)驗(yàn)設(shè)備及器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. 74HC138 一片(3線8線譯碼器)3. 74HC161 一片(四位二進(jìn)制加法計(jì)數(shù)器)四、實(shí)驗(yàn)內(nèi)容及要求用74HC161接成一個(gè)八進(jìn)制計(jì)數(shù)器,脈沖信號(hào)使用秒脈沖,將輸出端的低三位接入三八譯碼器的地址端,譯碼器的輸出端接LED即可。電路由同學(xué)們自己畫(huà)出。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí)。2. 自行設(shè)計(jì)電路,畫(huà)出接線圖(用指定器件設(shè)計(jì))。六、思考題

31、若想實(shí)現(xiàn)十六個(gè)燈的流水燈,電路將怎樣實(shí)現(xiàn),畫(huà)出原理圖?實(shí)驗(yàn)1.11 序列信號(hào)發(fā)生實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)序列信號(hào)產(chǎn)生的方法;2. 學(xué)習(xí)計(jì)數(shù)器和數(shù)據(jù)選擇器的使用方法。二、實(shí)驗(yàn)原理1. 序列脈沖發(fā)生原理在數(shù)字信號(hào)的傳輸和數(shù)字系統(tǒng)的測(cè)試中,有時(shí)需要用到一組特定的串行數(shù)字信號(hào)。通常將這種數(shù)字信號(hào)稱為序列信號(hào)。產(chǎn)生序列信號(hào)的電路稱為序列信號(hào)發(fā)生器。常用的序列信號(hào)發(fā)生器由計(jì)數(shù)器和數(shù)據(jù)選擇器組成,亦可用帶反饋邏輯電路的移位寄存器組成。本實(shí)驗(yàn)采用前一種方案,實(shí)驗(yàn)內(nèi)容為節(jié)日彩燈,原理框圖如圖1.11-1所示:圖1.11-1三、實(shí)驗(yàn)設(shè)備及器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. 74HC151 三片(八選一數(shù)據(jù)

32、選擇器) 3. 74HC161 一片(四位二進(jìn)制加法計(jì)數(shù)器)4. 74HC04 一片(六非門(mén))四、實(shí)驗(yàn)內(nèi)容及要求表1.11-1 表1.11-2CLK順序紅綠黃Q3Q2Q1Q0RGY00000000000110000011002110001011031110011111401101000115001010100160000110000711101111118000100000090011001001100111010011111111011111121101100110131001101100140001110000151111111111三個(gè)LED組成的節(jié)日彩燈,燈亮的順序如表1.11-1所示

33、。用74HC161組成一個(gè)十六進(jìn)制計(jì)數(shù)器,CLK端接在微動(dòng)開(kāi)關(guān)上。以計(jì)數(shù)器的輸出端為輸入,建立真值表如表1.11-2所示。列出輸出函數(shù)R、G和Y的表達(dá)式,用三個(gè)八選一數(shù)據(jù)選擇器實(shí)現(xiàn)。電路由同學(xué)們自己畫(huà)出。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí)。2. 自行設(shè)計(jì)電路,畫(huà)出接線圖(用指定器件設(shè)計(jì))。六、思考題如何確定所用電路應(yīng)選用多少選一的數(shù)據(jù)選擇器?實(shí)驗(yàn)1.12 可變計(jì)數(shù)器和分頻器實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)實(shí)現(xiàn)可變計(jì)數(shù)的的方法;2. 學(xué)習(xí)模數(shù)轉(zhuǎn)換的原理和芯片使用方法;3. 學(xué)習(xí)計(jì)數(shù)器分頻的原理。二、實(shí)驗(yàn)原理在任意進(jìn)制計(jì)數(shù)器的實(shí)現(xiàn)方法中,以74HC161為例,有一種利用進(jìn)位輸出端C和預(yù)置數(shù)端來(lái)

34、實(shí)現(xiàn)的,只需在C端加一個(gè)非門(mén)連到端,并在輸入端給某一個(gè)初值,就能實(shí)現(xiàn)計(jì)數(shù)。改變不同的初值,就能得到不同進(jìn)制的計(jì)數(shù)器,即可變計(jì)數(shù)器。為達(dá)到連續(xù)可調(diào),可用模擬信號(hào)做變量,而計(jì)數(shù)器的初值是數(shù)字信號(hào),因此需要采用模數(shù)轉(zhuǎn)換,將模擬信號(hào)轉(zhuǎn)換為數(shù)字信號(hào),作為計(jì)數(shù)器的初值。原理框圖如圖1.12-1所示。模擬初值模數(shù)轉(zhuǎn)換時(shí)鐘信號(hào)計(jì)數(shù)器顯示數(shù)字初值圖1.12-1 可變計(jì)數(shù)器實(shí)現(xiàn)原理三、實(shí)驗(yàn)設(shè)備及器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. ADC0809 8路8位模數(shù)轉(zhuǎn)換芯片3. 74HC138 一片(3線8線譯碼器)4. 74HC161 一片(四位二進(jìn)制加法計(jì)數(shù)器)四、實(shí)驗(yàn)內(nèi)容及要求1. 計(jì)數(shù)器部分計(jì)數(shù)器的連接方式

35、如圖1.12-2所示。改變不同的初值,就能得到不同進(jìn)制的計(jì)數(shù)器,即可變計(jì)數(shù)器。圖1.12-22. 模數(shù)轉(zhuǎn)換部分模數(shù)轉(zhuǎn)換部分采用ADC0809。ADC0809是單片CMOS數(shù)據(jù)采集器件,8位8通道復(fù)用。8位A/D轉(zhuǎn)換器的轉(zhuǎn)換技術(shù)為逐次逼近法。具有一個(gè)高輸入阻抗的比較器。一個(gè)具有模擬開(kāi)關(guān)樹(shù)的分壓電阻陣列,以便逼近輸入電壓。器件不需要外部調(diào)零或滿量程調(diào)整。需要注意的是,當(dāng)初值變大時(shí),計(jì)數(shù)周期變短,因此,輸入模擬信號(hào)量值變大,計(jì)數(shù)進(jìn)制變小。3*. 提高部分加上顯示部分,用數(shù)碼管能實(shí)時(shí)顯示進(jìn)制數(shù)。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)實(shí)驗(yàn)內(nèi)容及相關(guān)知識(shí)。六、思考題如何改進(jìn)電路,使輸入模擬信號(hào)量值變大,計(jì)數(shù)進(jìn)制也變大

36、?實(shí)驗(yàn)1.13 多諧振蕩器和定時(shí)器實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)555的基本原理和常用擴(kuò)展功能;2. 學(xué)習(xí)555構(gòu)成壓控振蕩器和多諧振蕩器的方法;二、實(shí)驗(yàn)原理圖1.13-1是用兩片555構(gòu)成的救護(hù)車(chē)音響電路。圖1.13-1 救護(hù)車(chē)音響電路第一片555組成多諧振蕩器;第二片555組成壓控振蕩器。用第一片555輸出的高、低電平控制第二片的555產(chǎn)生兩種不同頻率的輸出矩形波,適當(dāng)調(diào)節(jié)Rw1和Rw2,就可以聽(tīng)到喇叭發(fā)出“的嘟,的嘟”的聲音。三、實(shí)驗(yàn)設(shè)備及器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. 555定時(shí)器 兩片3. 雙蹤示波器 一臺(tái)四、實(shí)驗(yàn)內(nèi)容及要求按圖1.13-1連線,調(diào)節(jié)Rw1和Rw2使喇叭發(fā)出“的

37、嘟,的嘟”的聲音。用萬(wàn)用表測(cè)量vO1的高、低電平幅值及對(duì)應(yīng)的vI2幅值。用示波器或秒表測(cè)量vO1的高低電平持續(xù)時(shí)間tPH1和tPL1。用示波器測(cè)量vO1為高電平時(shí),vO的高、低電平持續(xù)時(shí)間tPH21和tPL21;測(cè)量vO1為低電平時(shí),vO的高、低電平持續(xù)時(shí)間tPH22和tPL22;測(cè)量的高、低電平幅值及發(fā)出“的嘟”聲音時(shí)的兩個(gè)頻率fo21和fo22。把相應(yīng)的值填到表1.13-1中。表1.13-1vO1高電平幅值/VvO1HMvI2高電平幅值/VvI2HMvO高電平幅值/VvO2HM持續(xù)時(shí)間tPH21/ms持續(xù)時(shí)間tPH1/ms持續(xù)時(shí)間/ms低電平幅值/VvO2LM持續(xù)時(shí)間tPL21/ms低電平

38、幅值/VvO1LM低電平幅值/Vv2LMvO高電平幅值/VvO2HM持續(xù)時(shí)間tPH22/ms持續(xù)時(shí)間tPL1/ms持續(xù)時(shí)間/ms低電平幅值/VvO2LM持續(xù)時(shí)間tPL22/ms注:上表中,第一格填理論值,第二格填實(shí)測(cè)值。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)555定時(shí)器的內(nèi)部結(jié)構(gòu)及原理;2. 提前預(yù)習(xí)多諧振蕩器和壓控振蕩器的原理;3. 熟悉多諧振蕩器頻率的計(jì)算方法。六、思考題1. 第一塊555定時(shí)器輸出vO1為低電平時(shí),為什么vI2不是低電平?2. 寫(xiě)出vO的頻率f與vI2的關(guān)系表達(dá)式。實(shí)驗(yàn)1.14 脈沖計(jì)數(shù)器實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)555定時(shí)器組成單穩(wěn)態(tài)觸發(fā)器的方法;2. 學(xué)習(xí)計(jì)數(shù)器的原理和使用方法;

39、3. 學(xué)習(xí)脈沖計(jì)數(shù)的原理。二、實(shí)驗(yàn)原理在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測(cè)量方案、測(cè)量結(jié)果都有十分密切的關(guān)系,因此頻率的測(cè)量就顯得更為重要。測(cè)量頻率的方法有多種,其中電子計(jì)數(shù)器測(cè)量頻率具有精度高、使用方便、測(cè)量迅速,以及便于實(shí)現(xiàn)測(cè)量過(guò)程自動(dòng)化等優(yōu)點(diǎn),是頻率測(cè)量的重要手段之一。電子計(jì)數(shù)器測(cè)頻,即在一定閘門(mén)時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù);若閘門(mén)時(shí)間選擇1秒,則在閘門(mén)時(shí)間內(nèi)測(cè)得的脈沖個(gè)數(shù)即為頻率;若閘門(mén)時(shí)間選擇0.1秒,則在閘門(mén)時(shí)間內(nèi)測(cè)得的脈沖個(gè)數(shù)乘以10即為頻率;以此類(lèi)推,原理框圖如圖1.14-1所示。圖1.14-1 脈沖計(jì)數(shù)器原理圖三、實(shí)驗(yàn)設(shè)備及器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái)

40、 一臺(tái)2. 555定時(shí)器 兩片3. 雙蹤示波器 一臺(tái)4. 計(jì)數(shù)器74HC160 兩片5. 74HC08 一片6. 電容、電阻 若干四、實(shí)驗(yàn)內(nèi)容及要求頻率計(jì)的基本原理是用一個(gè)頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時(shí)鐘,對(duì)比測(cè)量其它信號(hào)的頻率。通常情況下計(jì)算每秒內(nèi)待測(cè)信號(hào)的脈沖個(gè)數(shù),此時(shí)我們稱閘門(mén)時(shí)間為1秒。閘門(mén)時(shí)間也可以大于或小于一秒。閘門(mén)時(shí)間越長(zhǎng),得到的頻率值就越準(zhǔn)確,但閘門(mén)時(shí)間越長(zhǎng)則測(cè)一次頻率的間隔就越長(zhǎng)。由555 定時(shí)器組成單穩(wěn)態(tài)觸發(fā)器,使其產(chǎn)生固定寬度T=0.1s的方波脈沖做門(mén)控制信號(hào),即閘門(mén)時(shí)間。寬度為T(mén)的方波脈沖控制閘門(mén)的一個(gè)輸入端B,被測(cè)信號(hào)頻率為fx(以1KHz100KHz的脈沖信號(hào)為測(cè)試

41、信號(hào)),連接到閘門(mén)另一輸入端A。當(dāng)單穩(wěn)態(tài)觸發(fā)器產(chǎn)生的門(mén)控信號(hào)到來(lái)時(shí),閘門(mén)開(kāi)啟,頻率為fx的信號(hào)脈沖于輸出端C 產(chǎn)生脈沖信號(hào)到計(jì)數(shù)器(要求用所提供的芯片接成100進(jìn)制的計(jì)數(shù)器),計(jì)數(shù)器開(kāi)始工作,直到門(mén)控信號(hào)結(jié)束,閘門(mén)關(guān)閉,計(jì)數(shù)器停止計(jì)數(shù)。結(jié)果S輸送顯示器,這樣就可以得到被測(cè)信號(hào)的數(shù)字顯示的頻率。可在啟動(dòng)信號(hào)啟動(dòng)時(shí)將計(jì)數(shù)器同時(shí)清零,以防止計(jì)數(shù)累加。根據(jù)所設(shè)定的時(shí)間基準(zhǔn)算出頻率fx=D/T。五、預(yù)習(xí)要求1. 提前預(yù)習(xí)555定時(shí)器的內(nèi)部結(jié)構(gòu)及原理;2. 提前預(yù)習(xí)計(jì)數(shù)器的使用方法。六、思考題1. 根據(jù)上面給定的測(cè)試信號(hào)范圍,計(jì)算系統(tǒng)的測(cè)量誤差。2. 在上題的誤差水平下,如何實(shí)現(xiàn)更大范圍脈沖信號(hào)的頻率測(cè)定

42、。實(shí)驗(yàn)1.15 數(shù)模轉(zhuǎn)換實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?. 學(xué)習(xí)使用大規(guī)模集成電路,了解D/A轉(zhuǎn)換原理;2. 學(xué)習(xí)使用D/A轉(zhuǎn)換器構(gòu)成鋸齒波發(fā)生器的方法。二、實(shí)驗(yàn)原理1、DAC0832簡(jiǎn)介DAC0832功能框圖如圖1.15-1所示,它由8位輸入寄存器、8位DAC寄存器、8位D/A轉(zhuǎn)換器及轉(zhuǎn)換控制電路組成。其中8位D/A轉(zhuǎn)換器采用R-2R梯形電阻網(wǎng)絡(luò)。圖1.15-1 DAC0832功能框圖由于使用了兩個(gè)寄存器,所以可以進(jìn)行兩次緩沖操作,使該器件的使用具有更大的靈活性。它可以直接與微處理機(jī)的總線相接而無(wú)需附加邏輯。當(dāng)輸入鎖存信號(hào)ILE、片選信號(hào)和寫(xiě)控制信號(hào)同時(shí)有效時(shí),數(shù)據(jù)總線上的數(shù)據(jù)D7D0存入輸入寄存器。當(dāng)傳

43、輸控制信號(hào)和寫(xiě)控制信號(hào)同時(shí)有效時(shí),輸入寄存器的內(nèi)容送入DAC寄存器,同時(shí)轉(zhuǎn)換開(kāi)始,經(jīng)1s后在輸出端便可得到穩(wěn)定的電流輸出。利用DAC0832實(shí)現(xiàn)D/A轉(zhuǎn)換,必須外接一個(gè)運(yùn)算放大器,根據(jù)實(shí)際應(yīng)用,DAC0832有三種不同的接法,圖1.15-2中右側(cè)數(shù)/模轉(zhuǎn)換部分采用的是直通型接法。2.利用DAC0832構(gòu)成鋸齒波發(fā)生器利用DAC0832構(gòu)成的鋸齒波發(fā)生器如圖1.15-2所示。其中DAC0832和運(yùn)算放大器mA741組成直通型接法的D/A轉(zhuǎn)換器,實(shí)現(xiàn)由D7D0輸入一組數(shù)字量就會(huì)在mA741的UO端得到對(duì)應(yīng)的模擬量輸出的功能。兩片74HC161組成8位二進(jìn)制計(jì)數(shù)器,即在CLK脈沖作用下進(jìn)行256進(jìn)制

44、計(jì)數(shù),將這256個(gè)由小到大變化的數(shù)字量自動(dòng)分別加到D/A轉(zhuǎn)換器D7D0的輸入端,就會(huì)在其輸出端得到256種模擬電壓輸出,當(dāng)CP脈沖頻率很高時(shí),輸出模擬信號(hào)電壓組成的階梯波看起來(lái)就是鋸齒波。圖1.15-2 鋸齒波發(fā)生器三、實(shí)驗(yàn)設(shè)備及器件1. 電子技術(shù)綜合實(shí)驗(yàn)平臺(tái) 一臺(tái)2. 雙蹤示波器 一臺(tái)3. 74HC161 兩片4. DAC0832 一片5. mA741 一片四、實(shí)驗(yàn)內(nèi)容及要求1. 驗(yàn)證直通型D/A轉(zhuǎn)換器功能(1)將DAC0832和運(yùn)算放大器mA741按圖1.15-2右側(cè)部分接線組成直通型D/A轉(zhuǎn)換器。(2)將DAC0832 的數(shù)字量輸入端D7D0分別接到邏輯開(kāi)關(guān)上,按表1.15-1置數(shù),測(cè)量對(duì)應(yīng)的輸出模擬量,填入表1.15-1中。表1.15-1 D/A轉(zhuǎn)換器功能表輸入數(shù)字量輸出模擬量UOD7D6D5D4D3D2D1D0實(shí)測(cè)值理論值0000000000000001000000110000011100001111000111110011111101111111111111112. 用2片74HC161組成256進(jìn)制計(jì)數(shù)器(1) 按圖1.1

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論