單片機(jī)pid控制直流電機(jī)轉(zhuǎn)速_第1頁
單片機(jī)pid控制直流電機(jī)轉(zhuǎn)速_第2頁
單片機(jī)pid控制直流電機(jī)轉(zhuǎn)速_第3頁
單片機(jī)pid控制直流電機(jī)轉(zhuǎn)速_第4頁
單片機(jī)pid控制直流電機(jī)轉(zhuǎn)速_第5頁
已閱讀5頁,還剩48頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 本科畢業(yè)設(shè)計(jì)單片機(jī)pid控制直流電機(jī)轉(zhuǎn)速 學(xué)生姓名: 學(xué)生學(xué)號(hào): 院(系): 電氣信息工程學(xué)院 年級(jí)專業(yè): 電子信息工程 指導(dǎo)教師: 助理指導(dǎo)教師: 二一五年五月- 2 -本科畢業(yè)設(shè)計(jì)(論文) 摘要摘 要在運(yùn)動(dòng)控制系統(tǒng)中,對(duì)電機(jī)轉(zhuǎn)速的控制有著十分重要的作用,其控制的手段,方式與算法很多。模擬pid控制是起源比較早的控制策略之一,經(jīng)過長期的發(fā)展,形成了典型的結(jié)構(gòu),參數(shù)整定相對(duì)方便,并且能滿足一般的控制場(chǎng)景;但不足的是在模擬pid控制系統(tǒng)中,參數(shù)一旦整定,在整個(gè)控制過程中都是不能改變的,而在實(shí)際中,由于現(xiàn)場(chǎng)的系統(tǒng)參數(shù)、速度、溫度等環(huán)境時(shí)刻改變,使系統(tǒng)難以到最佳的控制效果,故采用模擬pid控制較難

2、獲得令人滿意的控制效果。隨著計(jì)算機(jī)技術(shù)與智能控制理論的發(fā)展,數(shù)字pid技術(shù)漸漸發(fā)展起來,它不僅能夠?qū)崿F(xiàn)模擬pid所完成的控制任務(wù),而且具備控制算法靈活、可靠性高等優(yōu)點(diǎn),應(yīng)用面越來越廣。本設(shè)計(jì)以上面提到的數(shù)字pid為基本控制算法,以stm32f103c8單片機(jī)為控制核心,產(chǎn)生占空比受數(shù)字pid算法控制的pwm脈沖實(shí)現(xiàn)對(duì)直流電機(jī)轉(zhuǎn)速的控制。同時(shí)利用光電傳感器將電機(jī)速度轉(zhuǎn)換成脈沖頻率反饋到單片機(jī)中,實(shí)現(xiàn)轉(zhuǎn)速閉環(huán)控制,達(dá)到轉(zhuǎn)速無靜差調(diào)節(jié)的目的。在系統(tǒng)中采用oled顯示屏作為顯示部件,通過44矩陣鍵盤設(shè)定轉(zhuǎn)速,啟動(dòng),啟動(dòng)后可以通過顯示部件了解電機(jī)當(dāng)前的轉(zhuǎn)速,當(dāng)前誤差。該系統(tǒng)控制精度高,具有很強(qiáng)的抗干擾能

3、力。關(guān)鍵詞 pid,pwm脈沖,占空比,無靜差調(diào)節(jié)i本科畢業(yè)設(shè)計(jì)(論文) abstract abstractin the motion control system, the motor speed control has a very important role in many of its control means, methods and algorithms. analog pid control is the origin of one of the control strategies earlier, after a long-term development, the fo

4、rmation of a typical configuration, parameter setting is relatively easy, and can meet the general control of the scene; but the downside is that the analog pid control systems, parameter once tuning, the entire control process is not changed, but in practice, due to system parameters scene, speed,

5、temperature and other environmental changes in time, the system is difficult to control the best results, it is difficult to obtain using analog pid control satisfactory control effect. with the computer technology and intelligent control theory, digital pid technology gradually developed, it can no

6、t only realize the analog pid control tasks completed, and includes a control algorithm flexible, high reliability, application surface and wider.the design of digital pid control the above-mentioned basic algorithm to stm32f103c8 microcontroller core, the duty cycle by a digital pid algorithm gener

7、ates the pwm pulse control to achieve dc motor speed control. at the same time the use of photoelectric sensors to convert the motor speed to pulse frequency back to the scm, closed-loop speed control, to speed static error adjustment purposes. oled display using a display unit in the system, by 4 4

8、 matrix keyboard set the speed, start by displaying part of the current understanding of the motor speed, the current error after starting. the system control and high precision, has a strong anti-jamming capability.key words pid, pwm impulse, dutyfactor, astatic modulation ii本科畢業(yè)設(shè)計(jì)(論文) 目錄目 錄摘 要iabs

9、tractii1 緒論11.1課題背景11.2國內(nèi)外研究現(xiàn)狀和水平11.3 pid控制器的發(fā)展趨勢(shì)22 設(shè)計(jì)方案與論證32.1設(shè)計(jì)要求和技術(shù)指標(biāo)32.1.1基本功能32.1.2技術(shù)指標(biāo)32.2 系統(tǒng)設(shè)計(jì)方案32.3 控制器模塊設(shè)計(jì)方案42.4 電機(jī)驅(qū)動(dòng)模塊設(shè)計(jì)方案42.5 速度采集模塊設(shè)計(jì)方案52.6 顯示模塊設(shè)計(jì)方案52.7 鍵盤模塊設(shè)計(jì)方案62.8 電源模塊設(shè)計(jì)方案62.9 確定方案73 硬件電路設(shè)計(jì)83.1 stm32f103c8最小系統(tǒng)83.1.1 stm32f103xx簡介83.1.2 stm32f103xx管腳圖與原理圖93.2 4x4矩陣鍵盤103.3 l298n電機(jī)驅(qū)動(dòng)模塊10

10、3.4 光電編碼器113.5 oled顯示屏123.5.1 oled簡介123.5.2 四線串行信號(hào)線選擇及時(shí)序圖123.5.3 oled驅(qū)動(dòng)芯片ssd1306134 軟件設(shè)計(jì)144.1 pid簡介及算法實(shí)現(xiàn)144.1.1 pid簡介144.1.2 pid算法實(shí)現(xiàn)144.2 主函數(shù)流程圖154.3 定時(shí)中斷速度采集164.3.1 stm32f103xx通用定時(shí)器簡介164.3.2 速度采集原理及定時(shí)器流程圖174.4 外部中斷及中斷處理流程圖174.4.1 外部中斷/事件控制器(exti)174.4.2 鍵盤中斷處理流程圖185 系統(tǒng)測(cè)試結(jié)果195.1 系統(tǒng)調(diào)試數(shù)據(jù)195.2 系統(tǒng)效果圖20結(jié)

11、論21參考文獻(xiàn)22附錄a23附錄b42致 謝461本科畢業(yè)設(shè)計(jì)(論文) 1 緒論1 緒論1.1課題背景21世紀(jì),科學(xué)技術(shù)日新月異,顯然,伴隨著科技的飛速發(fā)展,控制技術(shù)也得到了快速的發(fā)展,現(xiàn)代控制設(shè)備的性能和結(jié)構(gòu)都發(fā)生了翻天覆地的變化。我們已進(jìn)入高速發(fā)展的信息時(shí)代,控制技術(shù)成為當(dāng)今科技的主流之一,廣泛深入到研究和應(yīng)用工程等各個(gè)領(lǐng)域。目前,工業(yè)的自動(dòng)化水平已成為度量各個(gè)行業(yè)現(xiàn)代化水平的一個(gè)十分重要的標(biāo)志。然而,自pid控制器問世以來已有70多年歷史,控制理論的發(fā)展也經(jīng)歷了古典、現(xiàn)代和智能控制理論三個(gè)階段。卻沒有一種控制算法比pid調(diào)節(jié)規(guī)律更有效、更方便的了。現(xiàn)在大多數(shù)的調(diào)節(jié)器基本都源自pid。為什

12、么pid應(yīng)用如此廣泛、又長久不衰? 原因之一是pid解決了自動(dòng)控制理論所需要解決的最基本問題,既系統(tǒng)的快速性、準(zhǔn)確性和穩(wěn)定性。通過調(diào)節(jié)參數(shù),在系統(tǒng)穩(wěn)定的前提下,滿足系統(tǒng)的抗擾和負(fù)載能力,同時(shí),在pid中加入了積分項(xiàng),使之成為一階或多階的系統(tǒng),而這樣的系統(tǒng)階躍響應(yīng)穩(wěn)態(tài)誤差為零。另一個(gè)原因則是其結(jié)構(gòu)簡單、工作可靠、調(diào)整方便。因此,pid控制技術(shù)也成為了工業(yè)控制的主要技術(shù)之一。隨著我國國力日益強(qiáng)盛和全球經(jīng)濟(jì)的快速發(fā)展,pid控制技術(shù)已經(jīng)滲透到了鐵道運(yùn)輸、鋼鐵生產(chǎn)、汽車制造、航天航空、物流配送、醫(yī)療、飲料生產(chǎn)等各個(gè)領(lǐng)域。一大批機(jī)器設(shè)備制造商正處于蓬勃發(fā)展階段,除滿足本土市場(chǎng)龐大的機(jī)器設(shè)備需求外,走向國

13、際市場(chǎng),參與國際競爭也成為現(xiàn)實(shí)需求。但是由于中國科技相對(duì)落后,為此,我們需要更進(jìn)一步的學(xué)習(xí)、掌握與應(yīng)用先進(jìn)的控制技術(shù)與解決方案,以提升設(shè)備性能、檔次與市場(chǎng)競爭力。 1.2國內(nèi)外研究現(xiàn)狀和水平比例 - 積分 - 微分(pid)控制器被廣泛應(yīng)用于化工,冶金,機(jī)械,輕工等熱工和工業(yè)過程控制系統(tǒng)中,是最常見的一種控制調(diào)節(jié)器。pid有幾個(gè)重要的功能:提供反饋控制,通過積分作用能消除穩(wěn)態(tài)誤差,通過衍生訴訟預(yù)測(cè)未來。因此,pid控制器是特別適合的動(dòng)力性能和控制性能過程中是良性的要求不高的場(chǎng)合。pid控制是一種分布式控制系統(tǒng),該系統(tǒng)還包括在一些特殊用途的控制系統(tǒng)的一個(gè)重要組成部分。在工業(yè)過程控制,95以上的控

14、制回路是pid結(jié)構(gòu)的,而且大多數(shù)是實(shí)際pi控制回路。pid控制器許多有用的功能由于商業(yè)秘密并沒有被廣泛傳播,比如模式切換和防止卷起裝置等技術(shù)便是典型例子?,F(xiàn)場(chǎng)總線的出現(xiàn)則是另一個(gè)重要的發(fā)展,這將對(duì)分布式系統(tǒng)的控制結(jié)構(gòu)產(chǎn)生深遠(yuǎn)的影響。pid控制器的現(xiàn)場(chǎng)總線概念的一個(gè)重要組成部分,也可能與現(xiàn)場(chǎng)總線的發(fā)展被標(biāo)準(zhǔn)化。國際上有一些研究文章陳述了當(dāng)前工業(yè)控制的狀況,如1989年,日本電子測(cè)量儀器協(xié)會(huì)對(duì)過程控制系統(tǒng)制造在國際上做的調(diào)查報(bào)告。該報(bào)告顯示,90以上的控制環(huán)路的是pid結(jié)構(gòu)。在加拿大造紙廠額外的統(tǒng)計(jì)報(bào)告顯示,一個(gè)典型的造紙廠一般有2000多控制回路,其中pi控制在97以上,而只有20的控制回路是相

15、當(dāng)令人滿意的。究其原因一般情況差控制回路性能參數(shù)設(shè)定不當(dāng)?shù)?0,30是閥門的問題??刂破鞯男阅懿畹牧硗?0有多種原因,如傳感器的問題,不正確的采樣頻率濾波器和其他選項(xiàng)和問題。ender也得到類似的統(tǒng)計(jì):該過程已被安裝在控制器處于手動(dòng)模式30;循環(huán)的20調(diào)整使用廠家的參數(shù)值預(yù)置控制器制造商的參數(shù);控制回路閥門和傳感器的30,由于導(dǎo)致控制性能較差的問題。目前,自整定pid控制器可分為兩大類:基于規(guī)則的方法和基于模型的方法。在國際上已經(jīng)出現(xiàn)了一些商業(yè)產(chǎn)品,如foxboro exact (760/761),它使用加上啟發(fā)式規(guī)則調(diào)整參數(shù)的階躍響應(yīng)分析和模式識(shí)別技術(shù);alfa laval automati

16、on eca400控制器,它采用繼電器反饋和調(diào)整基于模型的方法;honeywelludc6000控制器,它采用階躍響應(yīng)分析和規(guī)則庫對(duì)參數(shù)進(jìn)行調(diào)整;yokogawa slpc-181/281,它使用基于模型的階躍響應(yīng)分析和調(diào)優(yōu)方法。還有一些自我調(diào)整的軟件包,如智能調(diào)諧器,這是fisher-rosemount公司用在一個(gè)封裝分布式控制系統(tǒng); looptune,這是honeywell公司的dcs系統(tǒng)tdc3000的改裝套件, dcs調(diào)諧器,它是abb主系統(tǒng)控制器調(diào)整一個(gè)包。1.3 pid控制器的發(fā)展趨勢(shì) 伴隨著社會(huì)的發(fā)展,人們追求生活的舒適性將越來越高,對(duì)其性能提出了越來越高的要求。pid控制技術(shù)作

17、為一項(xiàng)具有發(fā)展前景和影響力的新技術(shù),正越來越受到國內(nèi)外各行業(yè)的高度重視。然而,隨著科技的進(jìn)步,借助于數(shù)字和網(wǎng)絡(luò)技術(shù)的智能控制已經(jīng)深入到了運(yùn)動(dòng)控制系統(tǒng)的方方面面,各種各樣的新技術(shù)的應(yīng)用也顯著提高了運(yùn)動(dòng)控制系統(tǒng)的性能,因此,交流化、高頻化、網(wǎng)絡(luò)化、自適應(yīng)化和最優(yōu)化成為pid控制技術(shù)今后的發(fā)展方向。1本科畢業(yè)設(shè)計(jì)(論文) 2 設(shè)計(jì)方案與論證2 設(shè)計(jì)方案與論證2.1設(shè)計(jì)要求和技術(shù)指標(biāo) 2.1.1基本功能按鍵設(shè)定轉(zhuǎn)速,重設(shè)。實(shí)時(shí)顯示實(shí)際轉(zhuǎn)速,設(shè)定轉(zhuǎn)速,誤差值。pwm轉(zhuǎn)速閉環(huán)控制。 2.1.2技術(shù)指標(biāo)超調(diào)量8。調(diào)節(jié)時(shí)間4s。轉(zhuǎn)速誤差1。2.2 系統(tǒng)設(shè)計(jì)方案根據(jù)系統(tǒng)設(shè)計(jì)的任務(wù)和要求,設(shè)計(jì)系統(tǒng)框圖如圖2.1系

18、統(tǒng)方案圖所示。圖中控制器模塊為系統(tǒng)的核心部件,鍵盤和顯示器用來實(shí)現(xiàn)人機(jī)交互功能,其中通過鍵盤將需要的數(shù)據(jù)輸入到單片機(jī)中,并且通過控制器顯示到顯示器上。在運(yùn)行過程中控制器產(chǎn)生受pid調(diào)節(jié)的pwm脈沖并送到電機(jī)驅(qū)動(dòng)電路中,經(jīng)過驅(qū)動(dòng)模塊控制改變輸出電壓來控制直流電機(jī)轉(zhuǎn)速,同時(shí)利用測(cè)速模塊將當(dāng)前轉(zhuǎn)速反饋到控制器中,控制器經(jīng)過pid運(yùn)算后改變pwm脈沖的占空比,實(shí)現(xiàn)電機(jī)轉(zhuǎn)速實(shí)時(shí)控制的目的。圖2.1 系統(tǒng)方案圖2.3 控制器模塊設(shè)計(jì)方案根據(jù)設(shè)計(jì)任務(wù),控制器主要用于產(chǎn)生占空比受數(shù)字pid算法控制的pwm脈沖,并對(duì)電機(jī)當(dāng)前速度進(jìn)行采集處理,根據(jù)算法得出當(dāng)前所需輸出的占空比脈沖。對(duì)于控制器的選擇有以下三種方案。

19、方案一:采用fpga(現(xiàn)場(chǎng)可編輯門列陣)作為系統(tǒng)的核心控制器,fpga可以實(shí)現(xiàn)各種復(fù)雜的邏輯功能,模塊大,密度高,它可以將所有器件都集成在一塊芯片里,這樣既減小了體積,又提高了系統(tǒng)穩(wěn)定性,并且還可以用eda軟件進(jìn)行仿真、調(diào)試,便于對(duì)功能測(cè)試與控制。由于fpga輸入輸出采用并行的方式,系統(tǒng)的處理速度得到很到的提高,對(duì)于大規(guī)模實(shí)時(shí)系統(tǒng)的控制核心是一個(gè)非常適合的選擇。fpga通過輸入模塊把參數(shù)輸入,然后通過程序控制pwm脈沖的占空比,但是由于本次設(shè)計(jì)對(duì)數(shù)據(jù)處理實(shí)時(shí)性要求并不是很高,使得fpga高速實(shí)時(shí)處理的優(yōu)勢(shì)不能得到充分發(fā)揮,并且由于其集成度高,使其成本偏高,而且芯片的引腳很多,導(dǎo)致硬件電路板的布

20、線相對(duì)復(fù)雜,從而加大了電路設(shè)計(jì)與制作的工作量。方案二:采用at89c52作為系統(tǒng)控制的方案。at89c52單片機(jī)軟件編程靈活、自由度大。相對(duì)于fpga來說,它的芯片引腳少,在硬件很容易實(shí)現(xiàn)。并且它還具有功耗低、體積小、技術(shù)成熟和成本低等優(yōu)點(diǎn),在各個(gè)領(lǐng)域中應(yīng)用廣泛。雖然at89c52單片機(jī)有這么多優(yōu)點(diǎn),可是對(duì)于此系統(tǒng),不能硬件產(chǎn)生pwm波,而軟件產(chǎn)生需要借助定時(shí)器中斷,太消耗性能,并且還不能產(chǎn)生較高的頻率,如若采用此處理器,必定達(dá)不到理想的效果。方案三:采用stm32f103c8作為此系統(tǒng)控制核心,stm32f1系列屬于32位arm微控制器,該系列芯片是意法半導(dǎo)體(st)公司出品,其內(nèi)核是cor

21、tex-m3。最高72mhz工作頻率,運(yùn)行速度快,硬件資源豐富。綜合上述三種方案比較,采用stm32f103c8作為控制器處理輸入的數(shù)據(jù)并控制電機(jī)運(yùn)動(dòng)及時(shí)精確,完全滿足設(shè)計(jì)要求。因此在本次設(shè)計(jì)選用方案三。2.4 電機(jī)驅(qū)動(dòng)模塊設(shè)計(jì)方案本次設(shè)計(jì)的主要目的是控制電機(jī)的轉(zhuǎn)速,因此電機(jī)驅(qū)動(dòng)模塊是必不可少,其方案有一下兩種。方案一:采用mos管組合電路構(gòu)成驅(qū)動(dòng)電路,由于在驅(qū)動(dòng)電路中采用了大量的晶體管相互連接,使得電路復(fù)雜、抗干擾能力差、可靠性下降,采用此方案,不能改變電機(jī)轉(zhuǎn)動(dòng)方向。方案二:采用專用的電機(jī)驅(qū)動(dòng)芯片,如l298n電機(jī)驅(qū)動(dòng)芯片,由于它內(nèi)部已經(jīng)考慮到了電路的抗干擾能力,安全以及可靠行,設(shè)計(jì)者不需要

22、對(duì)硬件電路設(shè)計(jì)考慮很多,只需考慮到芯片的硬件連接、驅(qū)動(dòng)能力等問題,可將重點(diǎn)放在算法實(shí)現(xiàn)和軟件設(shè)計(jì)中,大大的提高了工作效率。并且還能使設(shè)計(jì)電路簡單、抗干擾能力強(qiáng)、可靠性好?;谏鲜隼碚摲治龊蛯?shí)際情況,電機(jī)驅(qū)動(dòng)模塊選用方案二。2.5 速度采集模塊設(shè)計(jì)方案本系統(tǒng)是一閉環(huán)控制系統(tǒng),在調(diào)節(jié)過程中需要將設(shè)定與當(dāng)前實(shí)際轉(zhuǎn)速進(jìn)行比較,速度采集模塊就是為完成這樣功能而設(shè)計(jì)的,其設(shè)計(jì)方案以下三種:方案一:使用霍爾傳感器。該器件內(nèi)部組成使用的是三片霍爾金屬板。當(dāng)磁鐵與金屬板正對(duì)時(shí),會(huì)產(chǎn)生霍爾效應(yīng),促使金屬板橫向?qū)?,故可以把磁片安裝在電機(jī)上和在固定軸上安裝霍爾集成片,通過脈沖計(jì)數(shù)的方式來獲取電機(jī)的轉(zhuǎn)速,從而實(shí)現(xiàn)對(duì)電

23、機(jī)速度的檢測(cè)。方案二:采用光電傳感器。其檢測(cè)原理為:相互對(duì)射安裝接受器和發(fā)射器,使得發(fā)射器的光能直接對(duì)準(zhǔn)接受器,當(dāng)被測(cè)物遮擋光束時(shí),傳感器的輸出就會(huì)產(chǎn)生變化,從而表示被測(cè)物體被檢測(cè)到,通過脈沖計(jì)數(shù)的方式來獲取電機(jī)的轉(zhuǎn)速,從而實(shí)現(xiàn)對(duì)電機(jī)速度的檢測(cè)。方案三:使用測(cè)速發(fā)電機(jī)對(duì)電機(jī)轉(zhuǎn)速進(jìn)行檢測(cè)。該方案的實(shí)現(xiàn)原理是將測(cè)速發(fā)電機(jī)固定在直流電機(jī)的軸上,當(dāng)直流電機(jī)轉(zhuǎn)動(dòng)時(shí),帶動(dòng)測(cè)速電機(jī)的軸一起轉(zhuǎn)動(dòng),因此測(cè)速發(fā)電機(jī)會(huì)產(chǎn)生大小隨直流電機(jī)轉(zhuǎn)速大小變化的感應(yīng)電動(dòng)勢(shì),因此精度比較高,但由于該方案的安裝比較復(fù)雜、成本也比較高,在本次設(shè)計(jì)沒有采用此方案。以上三種方案中,第三種方案不宜采用,第一種和第二種方案的測(cè)速原理基本相同

24、都是將電機(jī)轉(zhuǎn)速轉(zhuǎn)換為電脈沖的頻率進(jìn)行測(cè)量,但考慮到市場(chǎng)中的霍爾元件比較難買,而且成本也比較高,所以綜合考慮在設(shè)計(jì)中選用第二種方案進(jìn)行設(shè)計(jì)。2.6 顯示模塊設(shè)計(jì)方案在電機(jī)轉(zhuǎn)速控制系統(tǒng)中,系統(tǒng)需要對(duì)參數(shù)、工作方式以及電機(jī)當(dāng)前運(yùn)行狀態(tài)的顯示,因此在整個(gè)系統(tǒng)中必須設(shè)計(jì)一個(gè)顯示模塊,考慮有三種方案: 方案一:使用七段數(shù)碼管(led)顯示。雖然數(shù)碼管具有亮度高、工作電壓低、易于集成、驅(qū)動(dòng)簡單、耐沖擊且性能穩(wěn)定等特點(diǎn),并且它可采用bcd編碼顯示數(shù)字,編程容易,硬件電路調(diào)試簡單,但是用于顯示,太消耗系統(tǒng)性能,而且不能顯示文字等更多的提示信息,所以不宜選用。方案二:采用1602lcd液晶顯示器,該顯示器控制方法

25、簡單,功率低、硬件電路簡單、可對(duì)字符進(jìn)行顯示。但是由于并行傳輸數(shù)據(jù),需要引腳較多,且顯示效果難看,也不支持漢字,人機(jī)交互體驗(yàn)較差。方案三:采用oled顯示屏,該顯示屏具備自發(fā)光,不需背光源、對(duì)比度高、厚度薄、視角廣、反應(yīng)速度快、可用于撓曲性面板、使用溫度范圍廣、構(gòu)造及制程較簡單,驅(qū)動(dòng)電壓低、能耗低等優(yōu)異之特性,被認(rèn)為是下一代的平面顯示器新興應(yīng)用技術(shù)。最關(guān)鍵是還可以選擇并行或者串行模式,串行模式可節(jié)約i/o口資源?;谌N的方案的對(duì)比,毫無疑問,方案三是最適宜的選擇。2.7 鍵盤模塊設(shè)計(jì)方案 在此系統(tǒng)中,系統(tǒng)需要通過按鍵進(jìn)行設(shè)定值的輸入、工作方式的設(shè)定,以及電機(jī)起停的控制,因此鍵盤在整個(gè)系統(tǒng)中是

26、不可缺少的一部分,考慮有兩種方案可選擇: 方案一:獨(dú)立鍵盤,這種鍵盤硬件和軟件實(shí)現(xiàn)簡單,并且各按鍵之間互相獨(dú)立,每個(gè)按鍵都有一端接地或者接高電平,另一端接到輸入線上。按鍵的工作狀態(tài)不會(huì)直接影響到其它按鍵的輸入狀態(tài)。但是由于獨(dú)立鍵盤的每一個(gè)按鍵都需要占用一條輸入線,因此在按鍵數(shù)量比較多的時(shí)候,會(huì)浪費(fèi)較多gpio口,故此鍵盤僅僅適用于按鍵較少的場(chǎng)合。 方案二:采用4x4矩陣鍵盤,這種鍵盤的特點(diǎn)是列線、行線分別接輸出線、輸入線。按鍵設(shè)置在行、列線的交叉點(diǎn)上,利用這種矩陣結(jié)構(gòu)只需4根行線和4根列線,因此在需要按鍵數(shù)量較多的場(chǎng)合適合用此鍵盤。但此種鍵盤的軟件結(jié)構(gòu)較為復(fù)雜。 根據(jù)上面兩種方案的論述,由于本

27、次設(shè)計(jì)的系統(tǒng)硬件連接比較復(fù)雜,所以采用方案二矩陣式鍵盤進(jìn)行設(shè)計(jì)。2.8 電源模塊設(shè)計(jì)方案 電源是任何系統(tǒng)能否運(yùn)行的能量來源,無論那種電力系統(tǒng)電源模塊都是不可或缺的,對(duì)于該模塊考慮一下三種方案。 方案一:通過降壓芯片(如7812、7805等)對(duì)整流后的電壓進(jìn)行降壓、穩(wěn)壓處理,此方案可靠性、安全性高,對(duì)能源的利用率高,電路簡單容易實(shí)現(xiàn)。 方案二:通過電阻分壓的形式將整流后的電壓分別降為控制芯片和電機(jī)運(yùn)行所需的電壓,此種方案原理和硬件電路連接都比較簡單,但對(duì)能量的損耗大,在實(shí)際應(yīng)用系統(tǒng)同一般不宜采用。 方案三:直接用usb連接電腦或者手機(jī)充電器。 根據(jù)系統(tǒng)的具體要求,采用方案三作為系統(tǒng)的供電模塊即可

28、。并且方便可靠。 2.9 確定方案 經(jīng)過上述的分析與論證,系統(tǒng)具體方案圖系統(tǒng)如圖2.2所示,各模塊采用的方案如下: (1)控制模塊: 采用stm32f103c8t6單片機(jī); (2)電機(jī)驅(qū)動(dòng)模塊: 采用直流電機(jī)驅(qū)動(dòng)芯片l298n實(shí)現(xiàn); (3)速度采集模塊: 采用光電傳感器; (4)顯示模塊: 采用oled; (5)鍵盤模塊: 采用標(biāo)準(zhǔn)的44矩陣式鍵盤; (6)電源模塊: 手機(jī)充電器。圖2.2系統(tǒng)具體方案圖7本科畢業(yè)設(shè)計(jì)(論文) 3 硬件電路設(shè)計(jì)3 硬件電路設(shè)計(jì)3.1 stm32f103c8最小系統(tǒng)3.1.1 stm32f103xx簡介stm32f103c8芯片是基于arm cortex-m3內(nèi)核

29、,專為要求高性能、低成本、低功耗的嵌入式應(yīng)用專門設(shè)計(jì)的。時(shí)鐘頻率高達(dá)72mhz,運(yùn)行速度25dmips/mhz,同時(shí)還具備一流的外設(shè),1s的雙12位adc,4兆位/秒的uart,18兆位/秒的spi,18mhz的i/o翻轉(zhuǎn)速度。stm32f103xx系統(tǒng)架構(gòu)如圖3.1所示,主系統(tǒng)由以下部分構(gòu)成: 四個(gè)驅(qū)動(dòng)單元:cortex-m3內(nèi)核dcode總線(d-bus),和系統(tǒng)總線(s-bus) 通用dma1和通用dma2 四個(gè)被動(dòng)單元 內(nèi)部sram 內(nèi)部閃存存儲(chǔ)器fsmc ahb到apb的橋(ahb2apbx),它連接所有的apb設(shè)備圖3.1 stm32f103xx系統(tǒng)架構(gòu)3.1.2 stm32f10

30、3xx管腳圖與原理圖引腳接線說明:19pa0: pwm波輸出pa1: 矩陣鍵盤行線0pa2: oled屏d0引腳pa4: oled屏d1引腳pa6: oled屏res引腳pb0: oled屏dc引腳pb12:oled屏cs引腳pa3: 矩陣鍵盤行線1pa5: 矩陣鍵盤行線2pa7: 矩陣鍵盤行線3pb10:矩陣鍵盤列線0pb11:矩陣鍵盤列線1pb12:矩陣鍵盤列線2pb13:矩陣鍵盤列線3pb6: 編碼器輸出1pb7: 編碼器輸出2圖3.2 stm32f103xx管腳圖圖3.3 stm32f103xx原理圖3.2 4x4矩陣鍵盤矩陣鍵盤又稱為行列式鍵盤,它是用4條i/o線作為行線,4條i/o

31、線作為列線組成的鍵盤。在行線和列線的每一個(gè)交叉點(diǎn)上,設(shè)置一個(gè)按鍵。這樣鍵盤中按鍵的個(gè)數(shù)是44個(gè)。這種行列式鍵盤結(jié)構(gòu)能夠有效地提高單片機(jī)系統(tǒng)中i/o口的利用率。矩陣鍵盤原理圖如圖 3.4所示。圖 3.4 矩陣鍵盤原理圖鍵值說明:s1 - s9 : 數(shù)字1到9s10 : 數(shù)字0 s11 : 設(shè)定s12 : 取消s13 : 啟動(dòng) 引腳接線說明:行0:pa1行1:pa3行2:pa5行3:pa7列0:pb10列1:pb11列2:pb12列3:pb133.3 l298n電機(jī)驅(qū)動(dòng)模塊l298n是一種二相和四相電機(jī)的專用驅(qū)動(dòng)器,即內(nèi)含二個(gè)h橋的高電壓大電流雙全橋式驅(qū)動(dòng)器,接收標(biāo)準(zhǔn)ttl邏輯電平信號(hào),可驅(qū)動(dòng)46

32、v、2a以下的電機(jī)。 l298可驅(qū)動(dòng)2個(gè)電機(jī),outl、out2和out3、out4之間分別接2個(gè)電動(dòng)機(jī)。5、7、10、12腳接輸入控制電平,控制電機(jī)的正反轉(zhuǎn),ena,enb接控制使能端,控制電機(jī)的停轉(zhuǎn)。其原理圖如圖3.5所示。引腳接線說明:ena: pa0(pwm輸入口)in1: 接高電平in2:接低電平vs: 12v電源gnd:接地out1: 電機(jī)線1out2: 電機(jī)線2圖 3.5 l298n驅(qū)動(dòng)模塊原理圖3.4 光電編碼器在本系統(tǒng)中由于要將電機(jī)本次采樣的速度與上次采樣的速度進(jìn)行比較,通過偏差進(jìn)行pid運(yùn)算,因此速度采集電路是整個(gè)系統(tǒng)不可缺少的部分。本課題中采用的是光電測(cè)速來實(shí)現(xiàn)的,首先在

33、電機(jī)軸上固定一個(gè)編碼盤,根據(jù)系統(tǒng)要求來選擇編碼盤的線數(shù),要求越精確,則應(yīng)選擇線數(shù)越多的編碼盤,編碼盤形狀如圖3.6(a)所示,在圓盤的一側(cè)有一個(gè)發(fā)光二極管,要求位置必須對(duì)準(zhǔn)凹槽,在另一側(cè)和發(fā)光二極光平行的位置上固定一光敏三極管,如圖3.6(b)所示。如果電動(dòng)機(jī)轉(zhuǎn)動(dòng)時(shí),發(fā)光二極管發(fā)射出的光線透過編碼盤上的縫隙照射到光敏三極管上,這樣三極管就導(dǎo)通了,反之三極管截止,從而產(chǎn)生電平的變化,輸出脈沖。電路原理如圖3.6(b)所示,因此電機(jī)每轉(zhuǎn)一圈在gpioa0的輸出端就會(huì)產(chǎn)生n個(gè)脈沖。這樣就可根據(jù)脈沖的數(shù)量來計(jì)算電機(jī)此時(shí)轉(zhuǎn)速了。例如當(dāng)電機(jī)以一定的轉(zhuǎn)速運(yùn)行時(shí),gpioa0將輸出如圖3.7所示的矩形脈沖,若

34、知道一段時(shí)間t內(nèi)傳感器輸出的脈沖數(shù)為n,則電機(jī)轉(zhuǎn)速v= n/(t*n) r/s。 (a) (b)圖3.6 電機(jī)速度采集方案圖3.7 傳感器輸出脈沖波形3.5 oled顯示屏3.5.1 oled簡介oled,即有機(jī)發(fā)光二極管(organic light-emitting diode),又稱為有機(jī)電激光顯示(organic electroluminesence display, oeld)。oled 由于具備自發(fā)光,不需要背光源、厚度薄、對(duì)比度高、反應(yīng)速度快、視角廣、使用溫度范圍廣、構(gòu)造及制程較簡單、可用于撓曲性面板等優(yōu)異之特性。作為下一代的平面顯示器新興應(yīng)用技術(shù),與lcd 相比,由于oled是自

35、發(fā)光的,不需要背光,而lcd都需要背光,所以在同樣的顯示,oled 效果好很多。但是以現(xiàn)在的技術(shù),oled 的尺寸還很難大型化,不過分辨率是可以做到很高的哦。在本設(shè)計(jì)中,我們使用oled 作為顯示模塊,該模塊實(shí)物圖如圖33.8,具有以下特點(diǎn):1)模塊有純藍(lán)色和黃藍(lán)雙色兩種可選。2)驅(qū)動(dòng)芯片:ssd1306。電壓,3.3v 就可以工作了,5v有可能燒模塊。3)高分辨率,小小尺寸就能達(dá)到128*64的高分辨率。4)多種接口模式,提供了多種接口模式包括:6800、8080 兩種并行接口方式、3線或 4 線的穿行 spi 接口方式,、iic 接口方式(只需要 2 根線就可以控制 oled 了?。?。6)

36、超低功耗,支持眾多控制芯片:兼容51系列、msp430系列、stm32/、csr芯片等。 圖 3.8 oled顯示屏3.5.2 四線串行信號(hào)線選擇及時(shí)序圖cs:oled 片選信號(hào)。rst(res):硬復(fù)位 oled。dc:命令/數(shù)據(jù)標(biāo)志(0,讀寫命令;1,讀寫數(shù)據(jù))。sclk:串行時(shí)鐘線。在 4 線串行模式下,d0 信號(hào)線作為串行時(shí)鐘線 sclk。sdin:串行數(shù)據(jù)線。在 4 線串行模式下,d1 信號(hào)線作為串行數(shù)據(jù)線 sdin。圖3.9 spi模式寫時(shí)序圖3.5.3 oled驅(qū)動(dòng)芯片ssd1306ssd1306 的顯存總共為 128*64bit 大小,分成了 8 頁。每頁包含了 128 個(gè)字節(jié)

37、,其對(duì)應(yīng)關(guān)系如表3.1所示,這樣剛好是 128*64 的點(diǎn)陣大小。因?yàn)槊看味际前醋止?jié)寫入的,然而這就存在一個(gè)問題,如果我們使用只寫方式操作模塊,那么,每次要寫 8 個(gè)點(diǎn),這樣,我們?cè)诋孅c(diǎn)的時(shí)候,就必須把要設(shè)置的點(diǎn)所在的字節(jié)的每個(gè)位都搞清楚當(dāng)前的狀態(tài)(0/1?),否則寫入的數(shù)據(jù)就會(huì)覆蓋掉之前的狀態(tài),結(jié)果就是該顯示的沒有顯示了,或者有些不需要顯示的點(diǎn)顯示出來了。這個(gè)問題在能讀的模式下,我們可以先讀出來要寫入的那個(gè)字節(jié),得到當(dāng)前狀況,在修改了需要更新的位之后再寫進(jìn) gram,這樣就不會(huì)影響到之前的狀況了。因此我們?cè)?stm32 的內(nèi)部建立一個(gè) oled 的 gram (共 128*8 個(gè)字節(jié)),在每

38、次更新時(shí)候,只是更新 stm32 上的 gram (實(shí)際上就是 sram),在修改完了之后,一次性把 stm32 上的 gram 寫入到 oled 的 gram。當(dāng)然這個(gè)方法也有壞處,就是對(duì)于那些 sram很小的單片機(jī)(比如 51 系列)就比較麻煩了。表3.1 ssd1306顯存與屏幕關(guān)系行(col o-127)列(com 0-63)seg0seg1seg2seg125seg126seg127page0page1page2page3page4page5page6page7 本科畢業(yè)設(shè)計(jì)(論文) 4 軟件設(shè)計(jì)4 軟件設(shè)計(jì)4.1 pid簡介及算法實(shí)現(xiàn)控制算法是微機(jī)化控制系統(tǒng)的一個(gè)重要組成部分,整個(gè)

39、系統(tǒng)的控制功能主要由控制算法來實(shí)現(xiàn)。目前提出的控制算法有很多。根據(jù)偏差的比例(p)、積分(i)、微分(d)進(jìn)行的控制,稱之為pid控制。實(shí)際經(jīng)驗(yàn)和理論分析都表明,pid控制,能夠滿足相當(dāng)多工業(yè)對(duì)象的控制要求,至今仍是一種應(yīng)用最為廣泛的控制算法之一。4.1.1 pid簡介在模擬控制系統(tǒng)中,調(diào)節(jié)器最常用的控制規(guī)律是pid控制,常規(guī)pid控制系統(tǒng)原理框圖如圖4.1所示,系統(tǒng)由pid調(diào)節(jié)器、執(zhí)行機(jī)構(gòu)及控制對(duì)象組成。圖4.1 pid控制系統(tǒng)原理框圖pid調(diào)節(jié)器是一種線性調(diào)節(jié)器,它根據(jù)給定值與實(shí)際輸出值構(gòu)成的控制偏差: 將偏差的比例、積分、微分通過線性組合構(gòu)成控制量,對(duì)控制對(duì)象進(jìn)行控制,故稱為pid調(diào)節(jié)器

40、。在實(shí)際應(yīng)用中,常根據(jù)對(duì)象的特征和控制要求,將p、i、d基本控制規(guī)律進(jìn)行適當(dāng)組合,以達(dá)到對(duì)被控對(duì)象進(jìn)行有效控制的目的。例如,p調(diào)節(jié)器,pi調(diào)節(jié)器,pid調(diào)節(jié)器等。4.1.2 pid算法實(shí)現(xiàn)pid算法是本系統(tǒng)的核心算法,它根據(jù)采樣的數(shù)據(jù)與設(shè)定值進(jìn)行比較得出偏差,對(duì)偏差進(jìn)行p、i、d運(yùn)算得到增量,從而改變pwm脈沖的占空比來實(shí)現(xiàn)對(duì)電機(jī)兩端電壓的調(diào)節(jié),進(jìn)而控制電機(jī)轉(zhuǎn)速。其運(yùn)算公式為: 因此要在單片機(jī)想實(shí)現(xiàn)pid控制就必須存在上述算法,其程序流程如圖4.2所示。 圖4.2 pid算法流程圖4.2 主函數(shù)流程圖系統(tǒng)上電顯示第一界面,顯示內(nèi)容包含設(shè)計(jì)者院系信息及指導(dǎo)老師,當(dāng)按下next按鍵,進(jìn)入第二界面,

41、顯示內(nèi)容為畢業(yè)設(shè)計(jì)題目,當(dāng)按下next按鍵,系統(tǒng)依次初始化相關(guān)硬件設(shè)置,等待輸入設(shè)定值,當(dāng)設(shè)定好后,按下啟動(dòng)鍵,電機(jī)即可轉(zhuǎn)動(dòng),同時(shí)系統(tǒng)進(jìn)入pid控制調(diào)節(jié),可通過屏幕顯示的值來觀察到實(shí)時(shí)速度。具體流程圖如下圖4.3。圖4.3 主函數(shù)流程圖4.3 定時(shí)中斷速度采集4.3.1 stm32f103xx通用定時(shí)器簡介 通用定時(shí)器是一個(gè)通過可編程預(yù)分頻器驅(qū)動(dòng)的16位自動(dòng)裝載計(jì)數(shù)器構(gòu)成。它適用于多種場(chǎng)合,包括測(cè)量輸入信號(hào)的脈沖長度(輸入捕獲)或者產(chǎn)生輸出波形(輸出比較和pwm)。使用定時(shí)器預(yù)分頻器和rcc時(shí)鐘控制器預(yù)分頻器,脈沖長度和波形周期可以在幾個(gè)微秒到幾個(gè)毫秒間調(diào)整。每個(gè)定時(shí)器都是完全獨(dú)立的,沒有互相

42、共享任何資源。它們可以一起同步操作。其框圖如圖4.4。通用timx (tim2、tim3、tim4和tim5)定時(shí)器功能包括: 16位向上、向下、向上/向下自動(dòng)裝載計(jì)數(shù)器 16位可編程(可以實(shí)時(shí)修改)預(yù)分頻器,計(jì)數(shù)器時(shí)鐘頻率的分頻系數(shù)為165536之間的任意數(shù)值 4個(gè)獨(dú)立通道: 輸入捕獲 輸出比較 使用外部信號(hào)控制定時(shí)器和定時(shí)器互連的同步電路 如下事件發(fā)生時(shí)產(chǎn)生中斷/dma: 更新:計(jì)數(shù)器向上溢出/向下溢出,計(jì)數(shù)器初始化(通過軟件或者內(nèi)部/外部觸發(fā)) 輸入捕獲 輸出比較 支持針對(duì)定位的增量(正交)編碼器和霍爾傳感器電路圖4.4 通用定時(shí)器框圖4.3.2 速度采集原理及定時(shí)器流程圖本系統(tǒng)中速度采

43、集是一個(gè)十分重要的模塊,它的精確度會(huì)直接影響到整個(gè)控制系統(tǒng)的精度。在設(shè)計(jì)中采用了光電傳感器做為測(cè)速裝置,其計(jì)算公式為: v= r/min從公式可知速度v的誤差主要是由編碼盤上的編碼線的多少?zèng)Q定的,為了減少系統(tǒng)誤差應(yīng)盡量提高編碼盤線數(shù)的數(shù)量,在本次設(shè)計(jì)中得的編碼線數(shù)n為448,采樣周期t為20ms,則速度計(jì)算具體程序流程如圖4.5所示。圖4.5 定時(shí)器中斷處理流程圖4.4 外部中斷及中斷處理流程圖4.4.1 外部中斷/事件控制器(exti)stm32f103xx有19個(gè)能產(chǎn)生事件/中斷請(qǐng)求的邊沿檢測(cè)器。每個(gè)輸入線可以獨(dú)立地配置輸入類型(脈沖或掛起)和對(duì)應(yīng)的觸發(fā)事件(上升沿或下降沿或者雙邊沿都觸發(fā)

44、)。每個(gè)輸入線都可以獨(dú)立地被屏蔽。掛起寄存器保持著狀態(tài)線的中斷請(qǐng)求。其框圖如圖4.6所示。exti控制器的主要特性如下: 每個(gè)中斷/事件都有獨(dú)立的觸發(fā)和屏蔽 每個(gè)中斷線都有專用的狀態(tài)位 支持多達(dá)20個(gè)軟件的中斷/事件請(qǐng)求 檢測(cè)脈沖寬度低于apb2時(shí)鐘寬度的外部信號(hào)。參見數(shù)據(jù)手冊(cè)中電氣特性部分的相關(guān)參數(shù)。圖4.6 外部中斷控制器框圖4.4.2 鍵盤中斷處理流程圖外部中斷都是有矩陣鍵盤產(chǎn)生的,每當(dāng)有按鍵按下,就會(huì)響應(yīng)響應(yīng)的中斷,其流程圖4.7如下:圖4.7 鍵盤中斷處理流程圖本科畢業(yè)設(shè)計(jì)(論文) 5 實(shí)物圖5 系統(tǒng)測(cè)試結(jié)果5.1 系統(tǒng)調(diào)試數(shù)據(jù)通過對(duì)系統(tǒng)現(xiàn)場(chǎng)調(diào)試得出數(shù)據(jù)如表5.1所示,通過觀察得出該

45、系統(tǒng)比較合適的p、i、d三者的參數(shù)值為: =1.0, =0.3, =0.05。并且可以反映出pid調(diào)節(jié)器各校正環(huán)節(jié)的作用是:(1)比例環(huán)節(jié):值的選取決定于系統(tǒng)的響應(yīng)速度。增大能提高響應(yīng)速度,減小穩(wěn)態(tài)誤差;但是,值過大會(huì)產(chǎn)生較大的超調(diào),甚至使系統(tǒng)不穩(wěn)定減小可以減小超調(diào),提高穩(wěn)定性,但過小會(huì)減慢響應(yīng)速度,延長調(diào)節(jié)時(shí)間;(2)積分環(huán)節(jié):主要用于消除靜差,提高系統(tǒng)的無差度。積分作用的強(qiáng)弱取決于積分時(shí)間常數(shù),越大,積分作用越弱,反之則越強(qiáng);(3)微分環(huán)節(jié):能反映偏差信號(hào)的變化趨勢(shì)(變化速率),并能在偏差信號(hào)的值變得太大之前,在系統(tǒng)中引入一個(gè)有效的早期修正信號(hào),從而加快系統(tǒng)的動(dòng)作速度,減少調(diào)節(jié)時(shí)間。通過數(shù)

46、據(jù)分析可知,該系統(tǒng)完成了設(shè)計(jì)的任務(wù)及要求,證實(shí)了設(shè)計(jì)方案的可行性和設(shè)計(jì)方法的正確性。表5.1 系統(tǒng)調(diào)試數(shù)據(jù)次數(shù)設(shè)定設(shè)定設(shè)定設(shè)定(rpm)超調(diào)量調(diào)節(jié)時(shí)間(s)誤差(%)11.00.10.06300084321.00.20.07300093231.00.30.06300053241.00.40.03300052151.00.30.05300041161.00.50.02300062371.00.30.0230006%230008433000943100.80.4020.5030006%5430007%5413

47、30006%645.2 系統(tǒng)效果圖 21本科畢業(yè)設(shè)計(jì)(論文) 結(jié)論結(jié) 論本設(shè)計(jì)的目的在于利用單片機(jī)實(shí)現(xiàn)pid算法產(chǎn)生pwm脈沖來控制電機(jī)轉(zhuǎn)速。到目前為止通過對(duì)控制器模塊、電機(jī)驅(qū)動(dòng)模塊、oled顯示模塊、鍵盤輸入模塊、數(shù)字pid算法等進(jìn)行深入的研究。完成了硬件電路的系統(tǒng)設(shè)計(jì),軟件設(shè)計(jì),并且進(jìn)行系統(tǒng)硬件的組裝與軟件集成調(diào)試。軟件方面利用c語言進(jìn)行編程,增強(qiáng)了程序的可移植性和靈活性。歸納起來主要做了如下幾方面的工作:1、pid算法與pwm控制技術(shù)有機(jī)的結(jié)合;2、設(shè)計(jì)了速度檢測(cè)電路,鍵盤輸入電路,電機(jī)驅(qū)動(dòng)電路;3、利用c語言進(jìn)行程序設(shè)計(jì);4、系統(tǒng)模塊調(diào)試,集成調(diào)試。 根據(jù)上面論述結(jié)合

48、測(cè)試數(shù)據(jù)可以看出本次設(shè)計(jì)基本完成了設(shè)計(jì)任務(wù)和要求。通過此次設(shè)計(jì),掌握了數(shù)字pid算法的使用及編程方法,學(xué)習(xí)了如何進(jìn)行系統(tǒng)設(shè)計(jì)及相關(guān)技巧,為今后的工作和學(xué)習(xí)奠定了堅(jiān)實(shí)的基礎(chǔ)。22本科畢業(yè)設(shè)計(jì)(論文) 參考文獻(xiàn)參考文獻(xiàn)1 康華光,陳大欽,張林.電機(jī)技術(shù)基礎(chǔ)(模擬部分)m.北京:高等教育藏版社,2005.2 康華光,陳大欽,張林.電機(jī)技術(shù)基礎(chǔ)(數(shù)字部分)m.北京:高等教育藏版社,2005.3 丁元杰. 單片機(jī)微機(jī)原理及應(yīng)用.北京:機(jī)械工業(yè)出版社,2005. 4 于時(shí)亮,張友德.單片微機(jī)控制技術(shù)m.上海:復(fù)旦大學(xué)出版社,1994.5 劉金錕.先進(jìn)pid控制及其matlab仿真m. 北京:電子工業(yè)出版社

49、.2003.16 陳杰. 傳感器與檢測(cè)技術(shù)m. 北京:高等教育出版社,2002:201. 7 方彥軍,孫健. 智能儀器技術(shù)及其應(yīng)用m. 北京:化學(xué)工業(yè)出版社,2005:42,43. 8 萬佑紅,李新華. 用遺傳算法實(shí)現(xiàn)pid參數(shù)整定j.自動(dòng)化技術(shù)與應(yīng)用,2004,23 (7):7-8.9 沙占友. 單片機(jī)外圍電路設(shè)計(jì)m. 北京:電子工業(yè)出版社, 2003:21.10 泰繼榮. 現(xiàn)代直流控制技術(shù)及其系統(tǒng)設(shè)計(jì)m. 北京:機(jī)械工業(yè)出版社,1993:141-145.11 韓京清. 非線性pid控制器j.自動(dòng)化學(xué)報(bào),1994,(4):487-490.12 張俊謨. 單片機(jī)中級(jí)教程m. 北京:北京航空航天

50、大學(xué)出版社,2006:96.13 王偉,張晶濤,柴天佑. pid參數(shù)先進(jìn)整定方法綜述j.自動(dòng)化學(xué)報(bào),2000,(3):347-35.14 behzad razavi.design of analog cmos and integrated circuitsm.mcgraw-hill companies,2001:28-36.15 tao wu,ykang yang,yongxuan huang,etal. h-pid controller parameters tuning via genetic algorithmsc .intelligent controland automation.proceedings of the 3rd world congress on,2000,1:586-589.16 cominos p,munro n.pid controllers:recent tuning methods and designto specifi- cationm.control theory and applications,iee proceedings,january,2002,149:46.17 stephen prata.c primer pl

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論