![EDA課程設(shè)計報告交通燈_第1頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/5/0d4119f1-bdf4-4cba-b514-83245aea4e2b/0d4119f1-bdf4-4cba-b514-83245aea4e2b1.gif)
![EDA課程設(shè)計報告交通燈_第2頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/5/0d4119f1-bdf4-4cba-b514-83245aea4e2b/0d4119f1-bdf4-4cba-b514-83245aea4e2b2.gif)
![EDA課程設(shè)計報告交通燈_第3頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/5/0d4119f1-bdf4-4cba-b514-83245aea4e2b/0d4119f1-bdf4-4cba-b514-83245aea4e2b3.gif)
![EDA課程設(shè)計報告交通燈_第4頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/5/0d4119f1-bdf4-4cba-b514-83245aea4e2b/0d4119f1-bdf4-4cba-b514-83245aea4e2b4.gif)
![EDA課程設(shè)計報告交通燈_第5頁](http://file2.renrendoc.com/fileroot_temp3/2021-10/5/0d4119f1-bdf4-4cba-b514-83245aea4e2b/0d4119f1-bdf4-4cba-b514-83245aea4e2b5.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、目錄(ecjtu2008)一、方案分析與對比21.1方案分析21.2方案對比2二、整體設(shè)計論述4三、單元模塊設(shè)計與仿真6 3.1時鐘分頻模塊6 3.2交通燈控制及計時模塊7 3.3掃描顯示譯碼模塊83.4頂層文件的編寫及波形仿真10四、硬件實(shí)驗(yàn)方案及實(shí)驗(yàn)結(jié)果13 4.1硬件實(shí)驗(yàn)方案13 4.2實(shí)驗(yàn)結(jié)果14五、收獲和體會15六、程序代碼16一、 方案分析與對比1.1方案分析 通過分析課程設(shè)計的要求可以知道,所要設(shè)計的交通信號燈控制電路要能夠適用于由一條主干道和一條支干道的匯合點(diǎn)形成的十字交叉路口,其主要功能是:主干道處于常允許通行的狀態(tài),支干道有車來時才允許通行;當(dāng)支干道沒有車通行時,主干道亮綠
2、燈,而支干道亮紅燈,主、支干道的倒計時數(shù)碼管不顯示數(shù)字;當(dāng)支干道有車通行時,進(jìn)入了主干道和支干道交替通行的情況。 當(dāng)進(jìn)入到交替通行時,主干道每次放行45秒,支干道每次放行25秒。首先主干道通行45秒,并且主干道顯示45秒的倒計時,此45秒內(nèi)主干道亮綠燈,當(dāng)45秒計時結(jié)束,主干道亮黃燈5秒,而且顯示5秒倒計時,用于綠燈轉(zhuǎn)為紅燈作為過渡,使行駛中的車輛有時間停到禁行線外,在主干道亮綠燈和黃燈的50秒內(nèi),支干道亮紅燈50秒,而且支干道顯示50秒的倒計時。然后,當(dāng)支干道亮紅燈50秒結(jié)束時,主干道由黃燈轉(zhuǎn)為紅燈,并且主干道的紅燈亮30秒,顯示30秒的倒計時,在此過程中,支干道先亮綠燈25秒,顯示25秒的
3、倒計時,25秒過后綠燈轉(zhuǎn)為黃燈,而且黃燈亮5秒,顯示5秒倒計時,用于綠燈轉(zhuǎn)為紅燈作為過渡,使行駛中的車輛有時間停到禁行線外。支干道通行結(jié)束后,主干道通行,以此交替。1.2方案對比方案一、用純數(shù)字系統(tǒng)方式控制實(shí)現(xiàn)當(dāng)支干道沒有車通行時,只需要點(diǎn)亮主干道的綠燈和支干道的紅燈,而且主、支干道的數(shù)碼管不需要顯示,則很容易實(shí)現(xiàn)。當(dāng)支干道有車通行時,則進(jìn)入交替通行的情況,交替通行一次所需要的時間是80秒,所以需要一個0到79循環(huán)計數(shù)的計時器,在不同的計數(shù)時間段里實(shí)現(xiàn)相應(yīng)的功能。主干道:0到44秒亮綠燈,數(shù)碼管從44倒計時到00;45到49秒亮黃燈,數(shù)碼管從04倒計時到00;50到79秒亮紅燈,數(shù)碼管從29倒
4、計時到00。支干道:0到49秒,亮紅燈,數(shù)碼管從49倒計時到00;50到74秒,亮綠燈,數(shù)碼管從24倒計時到00;75到79秒,亮黃燈,數(shù)碼管從04倒計時到00。所以要根據(jù)0到79循環(huán)計數(shù)器的計數(shù)情況來控制主、支干道的紅綠黃燈和50秒、45秒、30秒、25秒和5秒倒計時計數(shù)器的計數(shù)。方案二、用狀態(tài)機(jī)控制交通燈的工作明顯可以分成5個狀態(tài),如下表支干道是否有車狀態(tài)實(shí)現(xiàn)功能支干道沒車st0支干道亮紅燈,主干道亮綠燈,數(shù)碼管不顯示。支干道有車st1主干道亮綠燈45秒,數(shù)碼管顯示45秒倒計時;支干道亮紅燈,數(shù)碼管顯示從49秒倒計時到05秒。st2主干道亮黃燈5秒,數(shù)碼管顯示5秒倒計時;支干道亮紅燈,數(shù)碼
5、管顯示從04秒倒計時到00秒。st3支干道亮綠燈25秒,數(shù)碼管顯示25秒倒計時;主干道亮紅燈,數(shù)碼管顯示從29秒倒計時到05秒。st4支干道亮黃燈5秒,數(shù)碼管顯示5秒倒計時;主干道亮紅燈,數(shù)碼管顯示從04秒倒計時到00秒。 把交通燈的工作分成五個狀態(tài),則寫程序的時候思路就比較清晰,只要在相應(yīng)的狀態(tài)里完成相應(yīng)的工作,控制好黃綠紅燈和數(shù)碼管的倒計時顯示,而且把握好五個狀態(tài)轉(zhuǎn)換的條件。通過對比可以知道選用狀態(tài)機(jī)能方便地實(shí)現(xiàn),而方案一顯得有些麻煩。二、整體設(shè)計論述根據(jù)題目要求的功能,可以把整體設(shè)計分為三個模塊:分頻模塊,把2khz的頻率分成1hz,1hz用于倒計時計數(shù)的時鐘信號,而2khz則可以用于數(shù)
6、碼管掃描顯示的片選時鐘信號;交通燈控制及倒計時(五個狀態(tài)的控制)模塊;數(shù)碼管譯碼掃描顯示模塊。整體的系統(tǒng)框圖如下:分頻2khz交通燈控制及倒計時主支干道紅黃綠燈數(shù)碼管倒計時顯示支干道是否有車 七段譯碼 1hz 位選 圖2-1系統(tǒng)框架圖分頻模塊就是把輸入的2khz時鐘頻率2000分頻得到1hz的頻率用于數(shù)碼管倒計時的時鐘信號,其原理就是設(shè)計一個0到999循環(huán)計數(shù)的的計數(shù)器,當(dāng)計數(shù)溢出,即計數(shù)到999時使輸出量取反,則輸出為0.5秒的高電平和0.5秒的低電平交替出現(xiàn),就得到了1hz的方波,作為秒倒計時的時鐘信號。交通燈控制及倒計時模塊就是五個狀態(tài)的轉(zhuǎn)換模塊,是整個系統(tǒng)的核心模塊,其五個狀態(tài)分別st
7、0、st1、st2、st3、st4。其中st0是當(dāng)支干道沒有車通行的狀態(tài),st1是主干道綠燈亮45秒的狀態(tài),st2是主干道亮黃燈5秒的狀態(tài),st3是支干道亮綠燈25秒的狀態(tài),st4是支干道亮黃燈5秒的狀態(tài)。當(dāng)主干道亮綠燈和黃燈時,支干道都是亮紅燈,當(dāng)支干道亮綠燈和黃燈時,主干道都是亮紅燈,并且主、支干道都會顯示亮燈的倒計時時間,主、支干道的紅黃綠燈用六個led發(fā)光二極管代替。五個狀態(tài)圖如下:st1st2st4st3st0 car為1且主干道綠燈倒計時結(jié)束 car為0 car為1 car為0 car為0 car為1且主干道 car為1 且支干道 黃燈倒計時結(jié)束 黃燈倒計時結(jié)束 car為0 car
8、為0 car為1且支干道綠燈倒計時結(jié)束圖2-2狀態(tài)圖 數(shù)碼管倒計時顯示,是用四個一體的數(shù)碼管,分別表示主干道和支干道的秒倒計時,所以是動態(tài)掃描顯示,掃描的頻率直接用2khz的輸入時鐘頻率。根據(jù)上面的思路分析最終得到的頂層文件原理圖如下:圖2-3頂層文件原理圖三、單元模塊設(shè)計與仿真3.1時鐘分頻模塊時鐘分頻模塊就是把輸入的2khz時鐘頻率2000分頻得到1hz的頻率用于數(shù)碼管倒計時的時鐘信號,其原理就是設(shè)計一個0到999循環(huán)計數(shù)的的計數(shù)器,當(dāng)計數(shù)溢出,即計數(shù)到999時使輸出量取反,則輸出為0.5秒的高電平和0.5秒的低電平交替出現(xiàn),就得到了1hz的方波,作為秒倒計時的時鐘信號。時鐘分頻模塊生成的
9、元件符號如下:- 23 - clk2khz是頻率為為2khz的輸入時鐘信號,clk1hz是經(jīng)過2000分頻后得到的頻率為1hz方波的輸出信號。圖3-1 時鐘分頻模塊仿真波形圖如下:設(shè)置的end time是3s,輸入clk2khz是頻率為2khz的方波。t=1s圖3-2 分頻模塊仿真波形圖波形分析:開始時clk1hz為低電平,當(dāng)計數(shù)器第一次計滿時,clk1hz由低電平轉(zhuǎn)為高電平,當(dāng)計數(shù)器第二次計滿時,clk1hz轉(zhuǎn)為低電平。在這一過程中,clk1hz先后經(jīng)歷了500ms的低電平和高電平,恰好為一個周期1s,之后依次高低交替,得到頻率為1hz的方波。3.2交通燈控制及計時模塊 此模塊是整個系統(tǒng)的核
10、心部分,主要功能是完成五個狀態(tài)的轉(zhuǎn)換,并且在每個狀態(tài)里完成相應(yīng)的控制作用,即控制主干道和支干道的紅黃綠燈的點(diǎn)亮和各自數(shù)碼管倒計時顯示。編程時主要是用一個進(jìn)程語句,其敏感信號是時鐘分頻模塊產(chǎn)生的1hz時鐘信號,進(jìn)程里主要用case語句完成五個狀態(tài)的控制,在每個狀態(tài)里要控制主干道和支干道的紅黃綠燈的點(diǎn)亮,而且要控制各自數(shù)碼管倒計時的顯示,并為掃描顯示譯碼模塊提供倒計時時間,同時要使每個狀態(tài)結(jié)束時能順利進(jìn)入下一個狀態(tài)。五個狀態(tài)及相應(yīng)的功能是:st0,支干道亮紅燈,主干道亮綠燈,數(shù)碼管不顯示;st1,主干道亮綠燈45秒,數(shù)碼管顯示45秒倒計時;支干道亮紅燈,數(shù)碼管顯示從49秒倒計時到05秒;st2,主
11、干道亮黃燈5秒,數(shù)碼管顯示5秒倒計時;支干道亮紅燈,數(shù)碼管顯示從04秒倒計時到00秒;st3,支干道亮綠燈25秒,數(shù)碼管顯示25秒倒計時;主干道亮紅燈,數(shù)碼管顯示從29秒倒計時到05秒;st4,支干道亮黃燈5秒,數(shù)碼管顯示5秒倒計時;主干道亮紅燈,數(shù)碼管顯示從04秒倒計時到00秒。狀態(tài)轉(zhuǎn)換條件參照圖2-2。此模塊生成的元件符號如下:圖3-3 交通燈控制及計時模塊clk1hz是分頻模塊輸出的1hz的時鐘信號,car是支干道是否有車的判斷信號,one1、ten1、one2、ten2分別是主干道倒計時的個位和十位,支干道倒計時的個位和十位。r_a、g_a、y_a、r_b、g_b、y_b分別是主干道和
12、支干道的紅、綠、黃燈控制信號。仿真波形如下:設(shè)置的end time為100ms,clk1hz為周期是1ms的方波(把周期縮小為的是可以縮小仿真的時間,方便波形的仿真)。 放大之后圖34 交通燈控制及倒計時模塊仿真波形圖波形分析:當(dāng)car為0時,狀態(tài)為st0,此狀態(tài)中主干道亮綠燈,支干道亮紅燈,當(dāng)car為1不變時,變?yōu)闋顟B(tài)st1,之后進(jìn)入st1-st2-st3-st4-st1的循環(huán)狀態(tài),在相應(yīng)的狀態(tài)里面也能正確地控制紅黃綠燈點(diǎn)亮。將上圖中下面太密的地方放大一段后,可以清楚地看到,在狀態(tài)st1中,主干道進(jìn)行著45秒的倒計時,而支干道進(jìn)行著50秒的倒計時,兩個倒計時在st0的狀態(tài)中始終相差5秒。3.
13、3掃描顯示譯碼模塊此模塊中含有七段數(shù)碼管譯碼和掃描顯示兩個部分。七段譯碼可以使用case語句,將數(shù)碼管要顯示的數(shù)譯成對應(yīng)的七位二進(jìn)制數(shù),用來控制數(shù)碼管的a、b、c、d、e、f、g的導(dǎo)通。主干道和支干道倒計時要用到4個數(shù)碼管,且是四位一體的,則要使用掃描顯示的方法:設(shè)計一個00到11循環(huán)計數(shù)的計數(shù)器,而且計數(shù)的時鐘要比較大,選用輸入的2khz的時鐘信號;當(dāng)計數(shù)器計數(shù)為00時,選通第一個數(shù)碼管,給它主干道倒計時個位的七段譯碼,當(dāng)計數(shù)器計數(shù)為01時,選通第二個數(shù)碼管,給它主干道倒計時十位的七段譯碼,當(dāng)計數(shù)器計數(shù)為10時,選通第三個數(shù)碼管,給它支干道倒計時個位的七段譯碼,當(dāng)計數(shù)器計數(shù)為11時,選通第四
14、個數(shù)碼管,給它支干道倒計時十位的七段譯碼,并以此循環(huán)掃描顯示,達(dá)到人眼看上去四個數(shù)碼管全顯示的效果。此模塊生成的元件符號如下:圖3-5 掃描顯示譯碼模塊元件符號其中clk2khz是輸入的掃描時鐘信號。one1、ten1分別是主干道倒計時的個位和十位,one2、ten2分別是支干道倒計時的個位和十位,這四個信號都是有交通燈控制及倒計時模塊輸出的。scan是四個數(shù)碼管的片選信號,seg_7是七段譯碼輸出信號。仿真波形如下:方便仿真設(shè)設(shè)置的end time為6ms,clk2khz周期為500us 當(dāng)one和ten為10時對應(yīng)的七段譯碼是0000000,使數(shù)碼管不顯示,用于支干道沒有車的情況!圖3-6
15、 掃描顯示譯碼模塊的波形仿真圖波形仿真說明:為方便觀察one1、ten1、one2、ten2及scan用的是無符號十進(jìn)制數(shù),當(dāng)scan=0時顯示one1,當(dāng)scan=1時顯示ten1,當(dāng)scan=2時顯示one2,當(dāng)scan=3時顯示ten2。seg_7的從高到低七位分別對應(yīng)數(shù)碼管gfedcba七段。3.4頂層文件的編寫及波形仿真 頂層文件就是將上述的三個模塊進(jìn)行例化,把它們連接起來組成一個整體。元件例化語句由兩部分組成,第一部分是將一個現(xiàn)成的設(shè)計實(shí)體定義為一個元件,它的最簡單表達(dá)式如下: component 元件名 is port (端口名表); end component 文件名;元件例化
16、語句的第二部分是此元件與當(dāng)前設(shè)計實(shí)體中元件間及端口的連接說明,語句的表達(dá)式如下: 例化名:元件名 port map (端口名=連接端口名,.); 由頂層文件生成的元件符號如下:圖3-7頂層文件生成元件符號clk_2k是外部輸入的頻率為2khz的時鐘信號,car是判斷支干道是否有車的輸入信號。scan1.0是四位一體數(shù)碼管的片選輸出信號,seg_76.0是數(shù)碼管的七段譯碼輸出信號,ra、ga、ya、rb、gb、yb分別是主干道和支干道紅、綠、黃燈的輸出控制信號。頂層文件的波形仿真圖如下:為了方便波形仿真,設(shè)置end time為1.2s,clk_2k是周期為5us的方波。圖3-8 頂層文件波形仿真
17、圖仿真波形分析:當(dāng)car為0時,為狀態(tài)st0,ga、rb為高電平,即主干道亮綠燈,支干道亮紅燈;當(dāng)car由0變?yōu)?且不變時,狀態(tài)轉(zhuǎn)為st1,ga、rb為高電平,即主干道亮綠燈,支干道亮紅燈;當(dāng)st1倒計時結(jié)束,則轉(zhuǎn)為st2,ya、rb為高電平,即主干道亮黃燈,支干道亮紅燈;當(dāng)st2倒計時結(jié)束,則轉(zhuǎn)為st3,ra、gb為高電平,即主干道亮紅燈,支干道亮綠燈;當(dāng)st3倒計時結(jié)束,則轉(zhuǎn)為st4,ra、yb為高電平,即主干道亮紅燈,支干道亮黃燈;當(dāng)st4倒計時結(jié)束,則轉(zhuǎn)為st1。當(dāng)在狀態(tài)st1,大約在1s處car由高電平變?yōu)榈碗娖綍r,狀態(tài)則會轉(zhuǎn)為st0。鑒于圖3-8中的scan和seg7太密而看不出其
18、中的變化,所以要把其中幾段放大才能便于觀察。1、st=st0時放大的波形如下:圖3-9 st=st0時放大的一段波形圖3-9波形分析:通過圖3-9可以清晰地觀察到當(dāng)st=st0時,主干道和支干道的數(shù)碼管都是滅的,即seg7=”0000000”。2、st=st1時放大的一段波形如下:圖3-10 st=st1時放大的一段波形圖3-10波形分析:由于要經(jīng)過clk_2k兩千分頻后才能得到1hz的倒計時時鐘信號,則要經(jīng)過clk_2k兩千個周期后主干道和支干道的數(shù)碼管秒倒計時才減一秒,所以在頂層文件波形仿真時不好看到倒計時的變化。在圖3-10中可以看到在st為st1時主干道的數(shù)碼管顯示為44,支干道的數(shù)碼
19、管顯示為49,即scan為0時,seg7是“1100110”,scan為1時,seg7是“1100110”,scan為2時,seg7是“1101111”,scan為3時,seg7是“1100110”。而st2、st3、st4放大后的波形和圖3-10相似。四、硬件實(shí)驗(yàn)方案及實(shí)驗(yàn)結(jié)果4.1硬件實(shí)驗(yàn)方案 把整個程序輸入好后,設(shè)置好頂層文件,然后編譯,編譯結(jié)束后,經(jīng)行引腳綁定,綁定后保存并再次編譯,最后配置文件下載。引腳綁定如圖4-1。圖4-1 引腳綁定說明:clk_2k引腳綁定為pin_152是選用實(shí)驗(yàn)箱的時鐘clk3,而且要把clk3的2khz的引腳用短路帽接上。car是綁定了pin_164,是高
20、低電平的第八個鍵,而且on為低電平,off為高電平。雖然實(shí)驗(yàn)箱里沒有綠燈和黃燈,但可以假定8個紅色發(fā)光二極管中的六個分別為主、支干道的紅、黃、綠燈。4.2實(shí)驗(yàn)結(jié)果接好硬件,下載好程序,開始時把car置為低電平,即表示支干道沒有車來時,主干道亮綠燈,支干道亮紅燈,四個倒計時數(shù)碼管都是滅的。當(dāng)把car置為高電平并不變后,主干道和支干道分別繼續(xù)亮綠燈和紅燈,同時主干道的數(shù)碼管從44開始一秒一秒地倒計時顯示直至倒計時到00,而支干道的數(shù)碼管從49開始一秒一秒地倒計時顯示,并且主、支干道的數(shù)碼管顯示值始終相差5。當(dāng)主干道的倒計時到00(支干道倒計時到05)后的下一秒,主干道的綠燈滅,亮起了黃燈,而且主干
21、道的數(shù)碼管從04開始秒倒計時直至00,支干道的紅燈在這一過程中始終是亮的,而且數(shù)碼管正常倒計時,和主干道的數(shù)碼管顯示。當(dāng)主、支干道數(shù)碼管倒計時到00后的下一秒,主干道的黃燈滅,紅燈亮,數(shù)碼管從29開始一秒一秒地倒計時,而支干道的紅燈滅,綠燈亮,數(shù)碼管開始從24一秒一秒地倒計時,始終和主干道的數(shù)碼管少5,直至倒計時到00。當(dāng)支干道數(shù)碼管倒計時到00(主干道為05)的下一秒后,支干道的綠燈滅,黃燈亮,數(shù)碼管開始從04一秒一秒地倒計時直至00,而主干道在這一過程中繼續(xù)亮紅燈,數(shù)碼管繼續(xù)正常地倒計時,而和支干道數(shù)碼管顯示相同。當(dāng)主、支干道倒計時到00的下一秒,則進(jìn)入到主干道亮綠燈,支干道亮紅燈的狀態(tài),
22、只要car依然維持在高電平,就會不斷循環(huán)支干道有車通信的四個狀態(tài)。當(dāng)car從高電平變?yōu)榈碗娖胶?,不管之前處于st1、st2、st3、st4中的任何一個狀態(tài)都會進(jìn)入到st0狀態(tài),即主干道亮綠燈,支干道亮紅燈,四個數(shù)碼管都不會顯示。五、收獲和體會交通燈這個eda的課設(shè)題目應(yīng)該是本次課設(shè)題目里面最簡單的一個,但是我們在這個學(xué)期eda的實(shí)驗(yàn)課程中,基本上多數(shù)情況是在實(shí)驗(yàn)室里機(jī)械地敲出書本上已有的程序,讓后驗(yàn)證它們,以加強(qiáng)對書本知識的理解,而我們自己并沒有真正地去用vhdl語言寫程序,所以我選一個最簡單的題目,還是從最簡單的事做起。當(dāng)選了這個題目的時候,老師就說了可以用狀態(tài)機(jī)實(shí)現(xiàn),而自己在plc實(shí)驗(yàn)課中
23、也編寫過用plc實(shí)現(xiàn)交通燈的程序,而那時候用的思路基本上和用有限狀態(tài)機(jī)來控制是差不多的,即一步一步來,換句話說就是一個狀態(tài)一個狀態(tài)來。如果不用狀態(tài)機(jī)控制,那么就要編一個總的計數(shù)器,在不同的時間端里,應(yīng)要實(shí)現(xiàn)同時控制兩個秒倒計時和燈的亮、滅,那就是數(shù)字電路里的邏輯組合電路和時序組合電路,既然vhdl語言里有狀態(tài)機(jī)的方式,就要學(xué)會用新的知識。在一些資料里面其實(shí)也有好多交通燈的例子,但是那些都是些很簡單的例子,這次課設(shè)的核心程序是自己編的。寫個程序還是沒有什么大的問題,編的時候也不能找出出問題,當(dāng)自己寫完程程序仿真后,發(fā)現(xiàn)有點(diǎn)問題,倒計時部分不是很好。到實(shí)驗(yàn)室在硬件上調(diào)試時,出現(xiàn)的問題是例如當(dāng)一邊的
24、倒計時從20變?yōu)?9時,另一邊的倒計時會停滯一秒。后來發(fā)現(xiàn)是自己沒有把握好狀態(tài)機(jī)的轉(zhuǎn)換過程的細(xì)節(jié)問題,還是時序沒有把握好,通過硬件調(diào)試后自己才體會到程序出現(xiàn)的問題,并且順利解決了。除了實(shí)現(xiàn)基本功能外,自己也沒有多少新的東西。完成了自己這個簡單的題目,發(fā)現(xiàn)我們很多時候,都是沒有好好地把知識學(xué)扎實(shí),特別是細(xì)節(jié)知識方面更是模棱兩可,當(dāng)實(shí)際編程時就會出現(xiàn)問題,所以自己要仔細(xì)看書本的內(nèi)容,何況我們的課本自己都還有好多內(nèi)容沒有認(rèn)真地看。以上就是我的收獲和體會。六、程序代碼1、時鐘分頻模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_
25、unsigned.all;entity div isport(clk2khz:in std_logic;-2khz的輸入時鐘 clk1hz:out std_logic);-經(jīng)2000分頻后的1hz時鐘輸出信號end;architecture one of div isbeginprocess(clk2khz)-2khz to 1hzvariable count:integer range 0 to 999;-0到999計數(shù)器variable clk1:std_logic;beginif clk2khzevent and clk2khz=1 then if count=999 then clk1
26、:=not clk1;count:=0; else count:=count+1; end if;end if;clk1hzseg77seg77seg77seg77seg77seg77seg77seg77seg77seg77seg77=0000000;end case;end process;seg_7=seg77;process(clk2khz,one1,ten1,one2,ten2)-數(shù)碼管動態(tài)掃描計數(shù)beginif clk2khzevent and clk2khz=1 then -00到11循環(huán)計數(shù)器 if cnt=11 then cnt=00; else cntdata=one1;sc
27、andata=ten1;scandata=one2;scandata=ten2;scannull;end case;end process;end three;3、交通燈控制及計時模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity state5 isport(clk1hz,car:in std_logic;-1hz倒計時時鐘信號 one1,ten1,one2,ten2:out integer range 0 to 10;-倒計時數(shù) r_a,g_a,y_a,r_b,g_b,y_b:ou
28、t std_logic);-主支干道紅黃綠燈end;architecture two of state5 is type states is (st0,st1,st2,st3,st4);-定義五個狀態(tài) signal r1,g1,y1,r2,g2,y2:std_logic;beginprocess(clk1hz)-5 states variable st:states; variable eoc:std_logic;-倒計時結(jié)束標(biāo)志位 variable h1,l1,h2,l2:integer range 0 to 10;beginif clk1hzevent and clk1hz=1 thencase st iswhen st0=if car=0 the
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 棗莊2025年山東棗莊市直事業(yè)單位首批急需緊缺人才需求(149人)筆試歷年參考題庫附帶答案詳解
- 揭陽2024年廣東揭陽揭西縣招聘事業(yè)單位工作人員60人筆試歷年參考題庫附帶答案詳解
- 2025年色環(huán)機(jī)項(xiàng)目可行性研究報告
- 2025年紫檀壁龕項(xiàng)目可行性研究報告
- 成都四川成都簡陽市青龍鎮(zhèn)便民服務(wù)和智慧蓉城運(yùn)行中心招聘綜治巡防隊(duì)員筆試歷年參考題庫附帶答案詳解
- 2025至2031年中國溫度傳送器行業(yè)投資前景及策略咨詢研究報告
- 2025至2031年中國機(jī)油殼扳手行業(yè)投資前景及策略咨詢研究報告
- 2025至2031年中國巖棉板行業(yè)投資前景及策略咨詢研究報告
- 2025年女式印花手袋項(xiàng)目可行性研究報告
- 2025年叉車水箱項(xiàng)目可行性研究報告
- 2025年蛇年年度營銷日歷營銷建議【2025營銷日歷】
- 攝影入門課程-攝影基礎(chǔ)與技巧全面解析
- 司法考試2024年知識點(diǎn)背誦版-民法
- 冀少版小學(xué)二年級下冊音樂教案
- 【龍集鎮(zhèn)稻蝦綜合種養(yǎng)面臨的問題及優(yōu)化建議探析(論文)13000字】
- 25 黃帝的傳說 公開課一等獎創(chuàng)新教案
- 人教版音樂三年級下冊第一單元 朝景 教案
- 《師范硬筆書法教程(第2版)》全套教學(xué)課件
- 中國聯(lián)通H248技術(shù)規(guī)范
- 孫權(quán)勸學(xué)省公共課一等獎全國賽課獲獎?wù)n件
- DL-T-692-2018電力行業(yè)緊急救護(hù)技術(shù)規(guī)范
評論
0/150
提交評論