畢業(yè)設(shè)計(論文)多功能數(shù)字鐘的設(shè)計與仿真_第1頁
畢業(yè)設(shè)計(論文)多功能數(shù)字鐘的設(shè)計與仿真_第2頁
畢業(yè)設(shè)計(論文)多功能數(shù)字鐘的設(shè)計與仿真_第3頁
畢業(yè)設(shè)計(論文)多功能數(shù)字鐘的設(shè)計與仿真_第4頁
畢業(yè)設(shè)計(論文)多功能數(shù)字鐘的設(shè)計與仿真_第5頁
已閱讀5頁,還剩38頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、多功能數(shù)字鐘制作與調(diào)試多功能數(shù)字鐘的設(shè)計與仿真設(shè)計任務(wù)與要求數(shù)字鐘制作的具體要求如下:1能進行正常的時、分、秒計時功能。使用6個七段發(fā)光二極管數(shù)碼管顯示時間。其中時位以24小時為計數(shù)周期。2能進行手動校時。利用三個單刀雙擲開關(guān)分別對時位、分位、秒位進行校正。3會制作整點報時電路。4能繪制數(shù)字鐘電路的原理圖和印制板布線圖。5列出數(shù)字鐘電路的元器件明細清單。6寫出數(shù)字鐘電路的安裝與調(diào)試說明,并按步驟進行仿真、制作與調(diào)試。前言數(shù)字鐘以其顯示的直觀性、走時準(zhǔn)確穩(wěn)定而受到人們的歡迎,廣泛應(yīng)用于家庭、車站、碼頭、劇場等場合,給人們的生活、學(xué)習(xí)、工作、娛樂帶來了極大的方便。該電路基本組成包含了數(shù)字電路的主要

2、組成部分,能幫助同學(xué)們將以前所做項目有機的、系統(tǒng)地聯(lián)系起來,培養(yǎng)綜合分析、設(shè)計、制作和調(diào)試數(shù)字電路的能力。數(shù)字鐘是一個將“時”、“分”、“秒”顯示于人的視覺器官的計時裝置。它的計時周期為24小時,顯示滿刻度為23時59分59秒,另外應(yīng)有校時功能和報時功能。因此,一個基本的數(shù)字鐘電路主要由五部分組成。其整機框圖如圖0-1所示。圖0-1數(shù)字鐘整體框圖第一章555定時器組成的振蕩器學(xué)習(xí)目標(biāo)能敘述555定時器邏輯功能、管腳功能,并能正確使用555定時器。會用555定時器構(gòu)成振蕩器。工作任務(wù)學(xué)習(xí)555定時器邏輯功能、管腳功能和使用方法。用555定時器制作出1khz方波信號的振蕩電路。晶體振蕩器的作用是產(chǎn)

3、生時間標(biāo)準(zhǔn)信號。數(shù)字鐘的精度,主要取決于時間標(biāo)準(zhǔn)信號的頻率及其穩(wěn)定度。因此,一般采用石英晶體振蕩器經(jīng)過分頻后獲得時間標(biāo)準(zhǔn)信號。也可采用由門電路或555定時器構(gòu)成的多諧振蕩器作為時間標(biāo)準(zhǔn)信號源。 1.1認識555定時器讀一讀555定時器可以實現(xiàn)模擬和數(shù)字兩項功能。1可產(chǎn)生精確的時間延遲和振蕩,內(nèi)部有3個5k的電阻分壓器,故稱555。2電源電壓電流范圍寬,雙極型:516v;cmos:318v。3可以提供與ttl及cmos數(shù)字電路兼容的接口電平。4可輸出一定的功率,可驅(qū)動微電機、指示燈、揚聲器等。5應(yīng)用:脈沖波形的產(chǎn)生與變換、儀器與儀表、測量與控制、家用電氣與電子玩具等領(lǐng)域。6ttl單定時器型號的最

4、后3位數(shù)字為555,雙定時器的為556;cmos單定時器的最后4位數(shù)為7555,雙定時器的為7556。它們的邏輯功能和外部引線排列完全相同??匆豢?55定時器的集成電路外形、引腳、內(nèi)部結(jié)構(gòu)如圖1-1所示。 (a) 外引線排列圖(b) 內(nèi)部結(jié)構(gòu)圖gnd:接地端 :低觸發(fā)端 out:輸出端 :復(fù)位端co:控制電壓端 th:高觸發(fā)端 d:放電端 vcc:電源端圖1-1555定時器外引線排列及內(nèi)部結(jié)構(gòu)圖做一做按圖1-2所示連接實驗電路,測試555定時器的輸入、輸出關(guān)系(也可以用仿真軟件進行仿真)。圖1-2555定時器的輸入、輸出關(guān)系測試圖測試電路說明:(1) 開關(guān)1打到2端時,4腳復(fù)位端接電源,也就是

5、接高電平。在表1-1和表1-2中用1表示;開關(guān)1打到1端時,4腳復(fù)位端接地,也就是接低電平。在表1-1和表1-2中用0表示。(2) 開關(guān)2打到2端時,5腳控制電壓端co接電源2,也就是接高電平。在表1-1和表1-2中用1表示;開關(guān)2打到1端時,5腳控制電壓端co懸空。在表1-1和表1-2中用0表示。(3) 調(diào)整可調(diào)電阻rp1,控制2腳低觸發(fā)端utr的電壓,其值可有電壓表1讀??;調(diào)整可調(diào)電阻rp2,控制5腳高觸發(fā)端uth的電壓,其值可有電壓表2讀取。(4) 發(fā)光二極管led1亮說明輸出端3腳uout輸出高電平用uoh表示;發(fā)光二極管led1滅說明輸出端3腳uout輸出低電平用uol表示。(5)

6、發(fā)光二極管led2亮說明555定時器內(nèi)部三極管t飽和,放電端7腳對地近視短路。用導(dǎo)通表示;發(fā)光二極管led2滅說明555定時器內(nèi)部三極管t截止,放電端7腳對地近視斷路。用截止表示。參照上述條件,當(dāng)電源1、電源2均為12v時,將測試結(jié)果記錄到表1-1中。表1-1555定時器性能測試記錄1couthutruoutt的狀態(tài)0101當(dāng)電源1為9v、電源2為6v時,將測試結(jié)果記錄到表1-2中。表1-2555定時器性能測試記錄2couthutruoutt的狀態(tài)0101讀一讀經(jīng)過測試,我們可以得出555定時器的輸入、輸出關(guān)系如表1-3所示。表1-3555定時器的輸入、輸出關(guān)系couthutruoutt的狀態(tài)

7、00*uol導(dǎo)通10uol導(dǎo)通不變不變*uoh截止1vcouol導(dǎo)通4的電路,則常采用計數(shù)器來實現(xiàn)更為方便,一般無需再用單個觸發(fā)器來組合。圖2-5用d觸發(fā)器和jk觸發(fā)器來組成分頻電路,輸出占空比均為50%。用jk觸發(fā)器構(gòu)成分頻電路容易實現(xiàn)并行式同步工作,因而適合于頻率較高的應(yīng)用場合。而觸發(fā)器中的引腳r、s(p)等引腳如果不使用,則必須按其功能要求連接到非有效電平的電源或地線上。(a)用d觸發(fā)器構(gòu)成的2分頻器(b)用雙d觸發(fā)器構(gòu)成的4分頻器(c)用jk觸發(fā)器構(gòu)成的2分頻器(d)用雙jk觸發(fā)器構(gòu)成的4分頻器圖2-5d觸發(fā)器和jk觸發(fā)器構(gòu)成的分頻器圖2-6是3分頻電路,用jk觸發(fā)器實現(xiàn)3分頻很方便,

8、不需要附加任何邏輯電路就能實現(xiàn)同步計數(shù)分頻。但用d觸發(fā)器實現(xiàn)3分頻時,必須附加譯碼反饋電路,如圖2-6(b)所示的譯碼復(fù)位電路,強制計數(shù)狀態(tài)返回到初始全零狀態(tài),就是用或非門電路把q2q1=“11b”的狀態(tài)譯碼產(chǎn)生高電平復(fù)位脈沖,強迫觸發(fā)器ff1和觸發(fā)器ff2同時瞬間(在下一時鐘輸入fi的脈沖到來之前)復(fù)零,于是q2q1=“11b”狀態(tài)僅瞬間作為“毛刺”存在而不影響分頻的周期,這種“毛刺”僅在q1中存在,實用中可能會造成錯誤,應(yīng)當(dāng)附加時鐘同步電路或阻容低通濾波電路來濾除,或者僅使用q2作為輸出。d觸發(fā)器的3分頻,還可以用與門對q2、q1譯碼來實現(xiàn)返回復(fù)零。 (a) 用jk觸發(fā)器構(gòu)成的3分頻器 (

9、b) 用d觸發(fā)器構(gòu)成的3分頻器圖2-6用d觸發(fā)器和jk觸發(fā)器構(gòu)成的3分頻器想一想如何用計數(shù)器構(gòu)成100分頻器?試畫出其電路圖。第3章計數(shù)譯碼顯示電路學(xué)習(xí)目標(biāo)會畫出計數(shù)譯碼顯示電路的方框圖,能敘述各部分的作用。會制作和調(diào)試二十四進制(“時”)計數(shù)譯碼顯示電路。會制作和調(diào)試六十進制(“分”、“秒”)計數(shù)譯碼顯示電路。工作任務(wù)1制作和調(diào)試二十四進制(“時”)計數(shù)譯碼顯示電路。2制作和調(diào)試六十進制(“分”、“秒”)計數(shù)譯碼顯示電路。 3.1計數(shù)譯碼顯示電路的組成讀一讀在數(shù)字鐘電路中,有了時間標(biāo)準(zhǔn)“秒”信號后,就可以根據(jù)“60秒為1分”、“60分為1小時”、“24小時為1天”的計數(shù)周期,分別組成。將這些

10、計數(shù)器適當(dāng)連接,就可以實現(xiàn)“秒”、“分”、“時”的計時功能。同時要將“秒”、“分”、“時”的狀態(tài)顯示成清晰的數(shù)字符號,就需要將計數(shù)器的狀態(tài)經(jīng)譯碼器進行譯碼,并通過顯示器將其顯示出來,這實際上構(gòu)成了數(shù)字鐘電路中“秒”、“分”、“時”的三個計數(shù)譯碼顯示電路。圖3-1為計數(shù)譯碼顯示電路的方框圖。從圖3-1可以看出,計數(shù)譯碼顯示電路由計數(shù)器、譯碼器、驅(qū)動器、顯示器四部分組成。圖3-1計數(shù)譯碼顯示電路方框圖在我們所制作的數(shù)字鐘電路中,兩個六十進制(秒、分)、一個二十四進制(時)的計數(shù)器均采用“集成十進制計數(shù)器cc4518”構(gòu)成,有關(guān)cc4518的功能及其所構(gòu)成的六十進制和二十四進制計數(shù)器電路已在中前面的

11、p3-m3.1和p3-m3.2中進行了詳細介紹,這里不再贅述。在我們所制作的數(shù)字鐘電路中,譯碼器均采用“輸出高電平的cmos顯示譯碼器cc4511”構(gòu)成,有關(guān)cc4511的功能及其所構(gòu)成數(shù)字顯示電路已在中前面的p2-m1.2中進行了詳細介紹,這里也不再贅述。另外,在我們所制作的數(shù)字鐘電路中,由于顯示器選用了可以與集成電路直接配用共陰極半導(dǎo)體數(shù)碼管sw20501,因此無需外加驅(qū)動器電路,只要直接將cc4511與sw20501直接配接即可。在關(guān)sw20501的功能已在中前面的p2-m1.1中進行了詳細介紹,這里也不再贅述。 3.2二十四進制(“時”)計數(shù)譯碼顯示電路的制作與調(diào)試看一看二十四進制(“

12、時”)計數(shù)譯碼顯示電路原理如圖3-2所示。該電路由二十四進制計數(shù)器和譯碼顯示兩部分電路組成,有關(guān)這兩部分電路的知識已分別在項目p3和項目p2中作了詳細介紹在此不再贅述。請參考前面的知識分析其工作原理。圖3-2二十四進制(“時”)計數(shù)譯碼顯示電路原理圖做一做根據(jù)圖3-2畫出二十四進制(“時”)計數(shù)譯碼顯示電路的接線圖3-3,并在面包板或印制電路板上安裝和調(diào)試該電路。圖3-3二十四進制(“時”)計數(shù)譯碼顯示電路的接線圖表3-1二十四進制(“時”)計數(shù)譯碼顯示電路制作元件清單序號品名型號/規(guī)格數(shù)量配件圖號實測情況1數(shù)字集成電路cc45112u1、u22數(shù)字集成電路cc45181u73數(shù)字集成電路cc

13、40811u1041/4w電阻47014r0r135led數(shù)碼管sm205012lde1、led26 3.3六十進制(“分”、“秒”)計數(shù)譯碼顯示電路的制作與調(diào)試看一看圖3-4所示為六十進制(“分”、“秒”)計數(shù)譯碼顯示電路原理圖,與圖3-2所示的二十四進制(“時”)計數(shù)譯碼顯示電路原理圖進行比較后可以看出,圖3-4只是在圖3-2的基礎(chǔ)上做了很小的改動,復(fù)位信號自cc4518的13、12腳,當(dāng)計數(shù)到0110 0000即60時電路復(fù)位。圖3-4原理圖做一做根據(jù)圖3-4畫出六十進制(“分”、“秒”)計數(shù)譯碼顯示電路的接線圖3-5,并在面包板或印制板上安裝和調(diào)試該電路(為與后面的總裝配圖配套,該電路

14、應(yīng)做兩面套,分別用于“分”、“秒”的顯示)。圖3-5六十進制(“分”、“秒”)計數(shù)譯碼顯示電路的接線圖表3-2六十進制(“分”、“秒”)計數(shù)譯碼顯示電路制作元件清單序號品名型號/規(guī)格數(shù)量配件圖號實測情況1數(shù)字集成電路cc45112u3、u42數(shù)字集成電路cc45181u83數(shù)字集成電路cc40811u1041/4w電阻47014r14r275led數(shù)碼管sm205012lde3、led4想一想欲構(gòu)成任意進制計數(shù)譯碼顯示電路時,應(yīng)在圖3- 4中作何改動?第4章校時電路的制作與調(diào)試學(xué)習(xí)目標(biāo)能正確使用rs觸發(fā)器。會使用數(shù)據(jù)選擇器和分配器。能制作和調(diào)試校時電路。工作任務(wù)1熟悉校時電路的組成原理。2制作

15、和調(diào)試校時電路。實際的數(shù)字鐘電路由于秒信號的精確性和穩(wěn)定性不可能做到完全(絕對)準(zhǔn)確無誤,加之電路中其它原因,數(shù)字鐘總會產(chǎn)生走時誤差的現(xiàn)象。因此,電路中就應(yīng)該有校準(zhǔn)時間功能的電路。讀一讀當(dāng)時鐘指示不準(zhǔn),就需要校準(zhǔn)時間。校準(zhǔn)的方法很多,常用的有“快速校時法”?,F(xiàn)在以“分計時器”的校時電路為例,簡要說明它的校時原理,見圖4-1。與非門u15a、u15b構(gòu)成的雙穩(wěn)態(tài)觸發(fā)器,可以將1hz的“秒”信號和“秒計數(shù)器的進位信號”送至“分計數(shù)器的cp端”。兩個信號中究竟選哪個送入由開關(guān)k控制,它的工作過程是如下:當(dāng)開關(guān)k置“b”端時,與非門u15a輸出低電平,與非門u15b輸出高電平?!懊胗嫈?shù)器進位信號”通過

16、與非門u15c和與非門u14a送至“分計數(shù)器的cp端”,使“分計數(shù)器”正常工作;需要校正“分計時器”時,將開關(guān)k置“a”端,與非門u15a輸出高電平,與非門u15b輸出低電平,與非門u15c封鎖“秒計數(shù)器進位信號”,而與非門u15d將1hz的cp信號通過與非門u15d和與非門u14a送至“分計時器”的cp控制端,使“分計數(shù)器”在“秒”信號的控制下“快速”計數(shù),直至正確的時間,再將開關(guān)置于“b”端,以達到校準(zhǔn)時間的目的。圖4-1校時電路原理圖做一做根據(jù)圖4-1畫出圖4-2所示由cc4011構(gòu)成的校時電路接線圖,并在面包板(或印制板)上搭建校時電路(也可以用仿真軟件仿真),同時與圖4-3所示校時測

17、試電路相連接,測試校時電路的功能,即在兩輸入端分別輸入高電平和1hz的方波信號,在輸出端接發(fā)光二極管。當(dāng)開關(guān)k置“b”端時發(fā)光二極管 ,當(dāng)開關(guān)k置“a”端時發(fā)光二極管 。(“常亮”、“閃爍”)圖4-2校時電路接線圖圖4-3校時測試電路表4-1校時電路制作元件清單序號品名型號/規(guī)格數(shù)量配件圖號實測情況1數(shù)字集成電路cc40112u14、u1521/4w電阻4.7k2r46、r473開關(guān)1想一想圖4-1所示校時電路巧妙實現(xiàn)了 信號和 信號兩路信號的切換。拓展性知識數(shù)據(jù)選擇器和分配器一、數(shù)據(jù)選擇器假如有多路信息需要通過一條線路傳輸或多路信息需要逐個處理,這時就要有一個電路,它能選擇某個信息而排斥其它

18、信息,這就稱作數(shù)據(jù)選擇。反之,把一路信息逐個安排到各輸出端去,叫做數(shù)據(jù)分配。如4選1數(shù)據(jù)選擇器能夠?qū)崿F(xiàn)從多路數(shù)據(jù)中選擇一路進行傳輸?shù)碾娐贩Q為數(shù)據(jù)選擇器。如圖4-4和圖4-5所示,4選1數(shù)據(jù)選擇器是從四路數(shù)據(jù)中,選擇一路進行傳輸。為達到此目的,必須由兩個選擇變量進行控制,a0和a1即為兩個選擇輸入端,d0d3為四個數(shù)據(jù)輸入端,y為輸出端。在實際電路中加有使能端(又稱選通端),只有時,才允許有數(shù)據(jù)輸出,否則輸出始終為0。4選1數(shù)據(jù)選擇器功能表見表5-1,由表5-1可寫出當(dāng)時的邏輯表達式:圖4-44選1數(shù)據(jù)選擇器原理圖圖4-5雙4選1邏輯圖表5-14選1數(shù)據(jù)選擇器的功能表輸入輸出da1a0y100d

19、000d00d101d10d210d20d311d3二、數(shù)據(jù)分配器在數(shù)據(jù)傳輸過程中,有時需要將某一路數(shù)據(jù)分配到多路裝置中去,能夠完成這種功能的電路稱為數(shù)據(jù)分配器。它可以看成是譯碼器的特殊應(yīng)用。帶有使能端的譯碼器都具有數(shù)據(jù)分配器的功能。一般2-4線譯碼器可作為四路分配器,3-8線譯碼器作為8路分配器,4-16線譯碼器作為16路分配器。它們的使能端作為數(shù)據(jù)線,其擴展方法同譯碼器。3線一8線譯碼器ct74ls138構(gòu)成的8路數(shù)據(jù)分配器如圖4-6。 (a) 輸出原碼的接法 (b) 輸出反碼的接法圖4-68路數(shù)據(jù)分配器a2a0為地址信號輸入端;為數(shù)據(jù)輸出端;從使能端sta、中選擇一個作為數(shù)據(jù)輸入端d,如

20、或作為數(shù)據(jù)輸入端d時,輸出原碼;如sta作為數(shù)據(jù)輸入端d時,輸出反碼。想一想如何測試ct74ls138構(gòu)成的8選1數(shù)據(jù)選擇器的邏輯功能,畫出其測試電路原理圖和接線圖。第5章整點報時電路的制作與調(diào)試學(xué)習(xí)目標(biāo)能分析組合邏輯電路的邏輯功能。會按要求制作出組合邏輯電路。能制作并調(diào)試整點報時電路。工作任務(wù)整點報時電路的制作與調(diào)試。整點報時是數(shù)字鐘最基本的功能之一,即當(dāng)數(shù)字鐘顯示整點時,應(yīng)能報時。要求當(dāng)數(shù)字鐘的“分”和“秒”計數(shù)器計到59分50秒(數(shù)字鐘電路要求在離整點差10秒)時,驅(qū)動音響電路,在每隔1秒音響電路鳴叫一次,每次叫聲的持續(xù)時間為1秒,10秒鐘內(nèi)自動發(fā)出五聲鳴叫,前四次為低音500hz,最后

21、一聲為高音1000hz,即“前四聲低,最后一聲高”,正好報整點。因此整點報時電路主要由控制門電路和音響電路兩部分組成,圖5-1所示為整點報時電路的原理圖。圖5-1整點報時電路圖 5.1控制門電路讀一讀在圖5-1所示為整點報時電路,與非門18組成控制門電路。與非門1、3、5的輸入信號q4、q3、q2、q1,分別表示“分十位”、“分個位”、“秒十位”和“秒個位”的狀態(tài),下標(biāo)中d、c、b、a分別表示組成計數(shù)器的四個觸發(fā)器的狀態(tài)。想一想根據(jù)圖5-1所示的整點報時電路,寫出y1、y2、y3、y4的邏輯表達式,并將其化簡為最簡與或表達式。y1=;y2=;y3=;y4=。讀一讀數(shù)字鐘電路要求在59分51秒、

22、53秒、55秒、57秒和59秒時各鳴叫一次。則當(dāng)計數(shù)器計數(shù)到59分50秒時,分、秒計數(shù)器的狀態(tài)應(yīng)為:qd4qc4qb4qa4=0101 (分十位)qd3qc3qb3qa3=1001 (分個位)qd2qc2qd2qa2=0101 (秒十位)qd1qc1qb1qa1=0000 (秒個位)此時要求音響電路工作,計數(shù)器狀態(tài)的變化僅發(fā)生在59分50秒至59分59秒之間。因此,只有秒個位的狀態(tài)發(fā)生變化,而其它計數(shù)器的狀態(tài)無須變化,所以可保持qc4=qa4=qd3=qa3=qc2=qa2=1不變。將它們相與,即y2=qc4qa4qd3qa3qc2qa2=1 。將此信號作為與門5、6的控制信號。想一想由圖5-

23、1所示的整點報時電路可以看出:y5=??梢娨箉5=1,在y2=1(即在59分50秒時)不變的前提下有以下兩種情況:(1) 當(dāng)qd1qa1=1時(即qd1qc1qb1qa1=1001,59秒)使f1(1khz)信號有效,發(fā)出的聲音。(2) 當(dāng)時(即qd1=0、qa1=1,小于59秒的奇數(shù)秒:51、53、55、57)信號有效,發(fā)出的聲音。 5.2音響電路讀一讀圖5-1中音響電路采用射極輸出器,推動8的喇叭,三極管基極串接1k限流電阻,是為了防止電流過大損壞喇叭,集電極串接51限流電阻,三極管選用8050型高頻小功率管。當(dāng)y5端為高電平時,三極管t導(dǎo)通,有電流流經(jīng)喇叭,使之發(fā)出鳴叫聲。通過以上分析

24、可知,當(dāng)計時至 59分51、53、55、57秒時,頻率為500hz的信號通過喇叭,當(dāng)計時至59分59秒時,頻率為1000hz的信號通過喇叭,因而發(fā)出“四低一高”的聲音,音響結(jié)束正好為59分60秒。 5.3整點報時電路的制作與調(diào)試做一做圖5-2整點報時電路接線圖表5-1整點報時時電路制作元件清單序號品名型號/規(guī)格數(shù)量配件圖號實測情況1數(shù)字集成電路cc40111u112數(shù)字集成電路cc40122u12、u1331/4w電阻1k1r4241/4w電阻511r435三極管80501v16揚聲器8/2w1ls1圖5-3整點報時測試電路接線圖根據(jù)圖5-1畫出圖5-2所示的用cc4011和cc4012構(gòu)成的

25、整點報時電路接線圖,并在面包板(或印制板)上分別搭建圖5-2所示整點報時電路和圖5-3所示的整點報時測試電路,并將兩電路對應(yīng)的10條導(dǎo)線相連接,測試并驗證其整點報時功能(也可以用仿真軟件仿真),并將其功能測并填寫到表5-1中。表5-1整點報時電路的測試記錄qc4qa4qd3qa3qc2qa2qa1qc1揚聲器發(fā)出的聲音聲 音持續(xù)時間分十位分個位秒十位個位11111110111111117個量中有任意一個或一個以上為零時*說明:1在測試電路時開關(guān)9、10都處于接通狀態(tài)。2開關(guān)18打到地對應(yīng)端為低電平,打到電阻接電源對應(yīng)端為高電平。3在揚聲器攔填寫“低音”、“高音”。想一想在調(diào)試整點報時電路圖5-

26、1的過程中,如果出現(xiàn)“三低一高”、“四低無高”、“無低一高”的聲音,試分析電路各是哪一部分出現(xiàn)故障造成的?第6章數(shù)字鐘的整體制作與調(diào)試學(xué)習(xí)目標(biāo)能將數(shù)字鐘的各個單元電路組合成整機電路。會裝配和調(diào)試數(shù)字鐘電路。工作任務(wù)1完成數(shù)字鐘各個單元電路的制作與調(diào)試。2完成數(shù)字鐘電路的整機裝配與調(diào)試。看一看根據(jù)數(shù)字鐘整機框圖和前面所學(xué)的模塊知識,將數(shù)字鐘的各個單元電路組合起來,構(gòu)成圖6-1所示的數(shù)字鐘整機邏輯電路圖。圖6-2為數(shù)字鐘的印制板圖,表6-1為數(shù)字鐘整機電路的制作元件清單。(注意:在原理圖繪制中,為了保證圖面整潔,一些地方的連接采用了網(wǎng)絡(luò)標(biāo)號。如u12的2腳接u8的13腳)做一做數(shù)字鐘整機電路可以在

27、面包板(或印制板和數(shù)字電路實驗箱)上搭建完成。在完成整機電路連接之前,應(yīng)先對各單元電路進行逐一安裝和調(diào)試,然后再進行整機的連接與調(diào)試。1晶體振蕩器的安裝和調(diào)試按圖6-1和圖1-1電路在面包板(或印制板和數(shù)字電路實驗箱)上連線,輸出接發(fā)光二極管,觀察發(fā)光二極管的顯示情況。用示波器觀察波形,并調(diào)整可調(diào)電阻,用頻率計測得所需頻率信號。2計數(shù)器譯碼顯示電路的安裝和調(diào)試按圖6-1和圖3-2-1、圖3-2-3電路在面包板(或印制板和數(shù)字電路實驗箱)上連線。因為cc4518內(nèi)含有兩個同步十進制計數(shù)器,圖3-2-1內(nèi)所含有的四個2輸入與非門,因此分別用一片cc4518和cc4511就夠了。bcd一7段鎖存譯碼

28、驅(qū)動器cc4511和led七段數(shù)碼管組成譯碼顯示電路。按圖6-1電路連線,輸出可接發(fā)光二極管。觀察在cp作用下,輸出端發(fā)光二極管的狀態(tài)變化情況,驗證是否為六十進制計數(shù)器和二十四進制計數(shù)器。調(diào)試過程中,要注意以下幾個問題:(1) 根據(jù) cc4518的功能表,當(dāng)觸發(fā)脈沖由cp端輸入時,en端應(yīng)接高電平,此時cp上升沿觸發(fā);當(dāng)觸發(fā)脈沖由en端輸人時,cp輸入端接低電平,此時cp下降沿觸發(fā)。(2) cr為異步復(fù)位端,高電平有效。當(dāng)cr為高電平時,計數(shù)器復(fù)位;正常計數(shù)時,應(yīng)使cr=0。(3) cc4511正常工作時,ltbi應(yīng)為高電平,le應(yīng)為低電平。3分頻電路的安裝和調(diào)試按圖6-1和圖2-1電路在面包

29、板(或印制板和數(shù)字電路實驗箱)上連線。制作中注意cp、en、r端的接法,不能接混,可以用邏輯筆觀察低頻輸出端的電平變化。4校時電路的安裝和調(diào)試按圖6-1圖和4-5-1電路在面包板(或印制板和數(shù)字電路實驗箱)上連線將電路輸出(門5)接發(fā)光二極管。撥動開關(guān),觀察輸出端發(fā)光二極管的顯示情況。注意:計時和較時兩種狀態(tài)的計數(shù)速度不一樣。5整點報時電路的安裝和調(diào)試按圖6-1和圖5-1所示電路在面包板或印制板(圖6-2所示)和數(shù)字電路實驗箱上連線。因為報時電路發(fā)出聲響的時間是59分51秒至59分60秒之間,59分的狀態(tài)是不變的,y2=1不變。測試時,輸入500hz、1000hz的信號,qa;qd端接至十進制

30、計數(shù)器的相應(yīng)輸出端。觀察計數(shù)器在cp信號的作用下,喇叭發(fā)出聲響的情況。圖6-1數(shù)字鐘整機電路圖圖6-2數(shù)字鐘印制板圖圖6-3數(shù)字鐘電路安裝3d圖表6-1數(shù)字鐘整機電路制作元件清單序號品名型號/規(guī)格數(shù)量配件圖號實測情況1數(shù)字集成電路cc45116u1 u62數(shù)字集成電路cc45185u7u9u19、u203數(shù)字集成電路cc40811u104數(shù)字集成電路cc40115u14u17u115數(shù)字集成電路cc40122u12、u136數(shù)字集成電路ne5551u187led數(shù)碼管sm205016led1led68開關(guān)3k1k391/4w電阻100k42r0r41101/4w電阻51k1r42111/4w電

31、阻511r43131/4w電阻2k1r44141/4w電阻4.7k7r45r5115可調(diào)電阻4.7k1rp16三極管80501v117揚聲器8/2w1ls118插件2腳1j1拓展性知識數(shù)字電路系統(tǒng)設(shè)計知識一、數(shù)字電路系統(tǒng)的組成與類別1數(shù)字系統(tǒng)的組成 在電子技術(shù)領(lǐng)域里,用來對數(shù)字信號進行采集、加工、傳送、運算和處理的裝置稱為數(shù)字系統(tǒng)。一個完整的數(shù)字系統(tǒng)往往包括輸入電路、輸出電路、控制電路、時基電路和若干子系統(tǒng)等五個部分。各部分具有相對的獨立性,在控制電路的協(xié)調(diào)和指揮下完成各自的功能,其中控制電路是整個系統(tǒng)的核心。當(dāng)然,并非每一個數(shù)字電路系統(tǒng)都能嚴(yán)格劃分成五個組成部分。(1) 輸入電路輸入電路的任

32、務(wù)是將各種外部信號變換成數(shù)字電路能夠接受和處理的數(shù)字信號。外部信號通??煞殖赡M信號和開關(guān)信號兩大類,如聲、光、電、溫度、濕度、壓力及位移等物理量屬于模擬量,而開關(guān)的閉合與打開、管子的導(dǎo)通與截止、繼電器的得電與失電等屬于開關(guān)量。這些信號都必須通過輸入電路變換成數(shù)字電路能夠接受的二進制數(shù)。(2) 輸出電路輸出電路將經(jīng)過數(shù)字電路運算和處理之后的數(shù)字信號變換成模擬信號或開關(guān)信號去推動執(zhí)行機構(gòu)。當(dāng)然,在輸出電路和執(zhí)行機構(gòu)之間常常還需要設(shè)置功放電路,以提供負載所要求的電壓和電流值。(3) 子系統(tǒng)子系統(tǒng)是對二進制信號進行算術(shù)運算或邏輯運算以及信號傳輸?shù)裙δ艿碾娐?,每個子系統(tǒng)完成一項相對獨立的任務(wù),即某種局

33、部的工作。子系統(tǒng)又常稱為單元電路。(4) 控制電路控制電路將外部輸入信號以及各子系統(tǒng)送來的信號進行綜合、分析,發(fā)出控制命令去管理輸入、輸出電路及各個子系統(tǒng),使整個系統(tǒng)同步協(xié)調(diào)、有條不紊地工作。(5) 時基電路時基電路(短形波發(fā)生器)產(chǎn)生系統(tǒng)工作的同步時鐘信號,使整個系統(tǒng)在時鐘信號的作用下一步一步地順序完成各種操作。2數(shù)字系統(tǒng)的類型(1) 在數(shù)字電路系統(tǒng)中,有的全是由硬件電路來完成全部任務(wù),有的除硬件電路外,還需要加上軟件,即使用可編程器件,采用軟硬結(jié)合的方法完成電路功能。后者的功能要比前者強得多,而且能使硬件投資減少,使用靈活方便,是數(shù)字電路應(yīng)用的一個重要方面。根據(jù)系統(tǒng)中有無可編程器件,數(shù)字系統(tǒng)可分為可編程和不可編程兩大類??删幊唐骷畹湫偷氖俏⑻幚砥?,一片微處理器配上若干外圍總片構(gòu)成硬件電路,再加上相應(yīng)的軟件就可以構(gòu)成一個功能很強的應(yīng)用系統(tǒng),其優(yōu)點是單純的硬件電路無法比擬的。除微處理器之外,如存貯器rom、eprom、e2prom、ram、可編程邏輯陣列pal、通用可編程門陣列g(shù)al,以及各種可編程接口電路,這些器件的功能均可以通過軟件來設(shè)置。

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論