課程設(shè)計(jì)報(bào)告基于單片機(jī)的數(shù)字電子稱設(shè)計(jì)_第1頁
課程設(shè)計(jì)報(bào)告基于單片機(jī)的數(shù)字電子稱設(shè)計(jì)_第2頁
課程設(shè)計(jì)報(bào)告基于單片機(jī)的數(shù)字電子稱設(shè)計(jì)_第3頁
課程設(shè)計(jì)報(bào)告基于單片機(jī)的數(shù)字電子稱設(shè)計(jì)_第4頁
課程設(shè)計(jì)報(bào)告基于單片機(jī)的數(shù)字電子稱設(shè)計(jì)_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、五邑大學(xué) 信息工程學(xué)院課 程 設(shè) 計(jì) 報(bào) 告課程名稱: 電子系統(tǒng)設(shè)計(jì)技術(shù) 專 業(yè): 通信工程 班 級(jí): ap10059 學(xué) 號(hào): ap1005941 姓 名: 張琰 指導(dǎo)教師: 周開利 設(shè)計(jì)時(shí)間: 2012/9/1/2013/1/5 評(píng)定成績: 設(shè)計(jì)課題題目:_基于單片機(jī)的數(shù)字電子稱設(shè)計(jì)_一、設(shè)計(jì)任務(wù)與要求1、通過單片機(jī)控制設(shè)計(jì)一個(gè)具有顯示單價(jià)、質(zhì)量和計(jì)算總價(jià)等智能數(shù)字電子秤。并通過電阻式應(yīng)變片進(jìn)行稱重測(cè)量。2、通過按鍵可以調(diào)整單價(jià)、最大稱量值,并且能實(shí)現(xiàn)去皮處理。3、具有超重自動(dòng)報(bào)警功能。4、附加顯示功能二、課題分析與方案選擇數(shù)字電子秤通過秤量,需要將相關(guān)數(shù)據(jù)以及結(jié)果顯示出來,所以需要有顯示

2、電路。方案一:采用led數(shù)碼管顯示。led數(shù)碼管經(jīng)過合理的設(shè)置可以完成顯示被測(cè)物質(zhì)量、單價(jià)、總價(jià),以及顯示最大稱量值的任務(wù),并且經(jīng)濟(jì)耐用。同時(shí)led具有高亮度、高刷新率的優(yōu)點(diǎn),能提供寬達(dá)160度的視角,可以在較遠(yuǎn)的距離上看清楚。但是它的顯示存在信息量少,顯示不直觀,不易理解,連線復(fù)雜等缺點(diǎn)。方案二:采用lcd液晶屏顯示。lcd液晶屏具有字符顯示的功能,不但可以同時(shí)顯示被測(cè)物質(zhì)量、單價(jià)、總價(jià)以及最大稱量值,還可以同時(shí)顯示相應(yīng)的控制命令、指示符號(hào)及單位等,信息量豐富且直觀易懂。另外,液晶顯示具有功耗低,體積小,質(zhì)量輕,壽命長,不產(chǎn)生電磁輻射污染等優(yōu)點(diǎn)。綜合比較兩者的優(yōu)缺點(diǎn),本設(shè)計(jì)最終采用lcd16

3、02作為顯示模塊??傮w方案設(shè)計(jì):綜合考慮本次設(shè)計(jì)要求、現(xiàn)有元器件資源、元器件價(jià)格和對(duì)元器件的熟悉掌握程度,本次設(shè)計(jì)選用stc89c52作為cpu控制器,adc0809作為模數(shù)轉(zhuǎn)換器件,lcd1602作為顯示器件,再配以其他相關(guān)元器件來實(shí)現(xiàn)硬件電路的設(shè)計(jì)。3、 單元電路分析與設(shè)計(jì)1傳感器的設(shè)計(jì):(!)使用應(yīng)變片式的電阻傳感器。(2)電阻應(yīng)變式傳感器測(cè)量電路設(shè)計(jì):由于機(jī)械應(yīng)變一般都很小,要把微小應(yīng)變引起的微小電阻值的變化測(cè)量出來,就需要設(shè)計(jì)專用的測(cè)量電路。常采用橋式測(cè)量電路。橋式測(cè)量電路如下圖所示,它有四個(gè)電阻,其中任何一個(gè)電阻均可以是應(yīng)變片,當(dāng)兩組對(duì)邊的阻值乘積相等(即r8*r10=r9*(rv

4、4+r11)時(shí),電路平衡輸出電壓為零,當(dāng)應(yīng)變片電阻變化0.01(rv4變化1%)時(shí)都會(huì)有電壓輸出。本次設(shè)計(jì)采用一個(gè)應(yīng)變片構(gòu)成的單臂測(cè)量電路,而通過兩個(gè)應(yīng)變片可增大一倍的秤量值。圖中用(rv4+r11)代替350應(yīng)變片bf350-3aa (23) n8,u+、u-為輸出端。(3)放大電路設(shè)計(jì): 傳感器輸出電壓為毫伏級(jí),而a/d轉(zhuǎn)換器所能處理的電壓是05v,所以必須在a/d轉(zhuǎn)換器前加入一個(gè)前置差動(dòng)放大電路以實(shí)現(xiàn)電壓的放大,放大倍數(shù)為1001000倍,使輸出電壓為05v。由于單運(yùn)放在應(yīng)用中要求外圍電路匹配精度高、增益調(diào)整不便、差動(dòng)輸入阻抗低,而三運(yùn)放結(jié)構(gòu)具有差動(dòng)輸入阻抗高、共膜抑制比高、偏置電流低等

5、優(yōu)點(diǎn),且有良好的溫度穩(wěn)定性,低噪聲輸出和增益調(diào)整方便,適于在傳感電路中應(yīng)用。如圖所示,采用lm324中的三個(gè)運(yùn)放組成該放大電路。圖中r為增益調(diào)節(jié)電阻,可實(shí)現(xiàn)1g級(jí)別調(diào)整。u1:a,b為兩個(gè)電壓跟隨器,u1:c為差分放大器,根據(jù)計(jì)算公式:可知通過改變外圍電阻值即可調(diào)整放大倍數(shù),該電路放大倍數(shù)為500以上。u+、u-為感應(yīng)信號(hào)信號(hào)輸入端,out為輸出端。2.a/d轉(zhuǎn)換電路設(shè)計(jì):(1)adc0809原理簡介:adc0809是采樣分辨率為8位的、以逐次逼近原理進(jìn)行模/數(shù)轉(zhuǎn)換的器件。其內(nèi)部有一個(gè)8通道多路開關(guān),它可以根據(jù)地址碼鎖存譯碼后的信號(hào),只選通8路模擬輸入信號(hào)中的一個(gè)進(jìn)行a/d轉(zhuǎn)換。adc0808

6、是adc0809的簡化版本,功能基本相同。一般在硬件仿真時(shí)采用adc0808進(jìn)行a/d轉(zhuǎn)換,實(shí)際使用時(shí)采用adc0809進(jìn)行a/d轉(zhuǎn)換。(2)a/d轉(zhuǎn)換電路圖:adc0809引腳功能:15和2628(in0in7):8路模擬量輸入端。 8、14、15和1721:8位數(shù)字量輸出端。 22(ale):地址鎖存允許信號(hào),輸入,高電平有效。 6(start): ad轉(zhuǎn)換啟動(dòng)脈沖輸入端,輸入一個(gè)正脈沖(至少100ns寬)使其啟動(dòng)(脈沖上升沿使0809復(fù)位,下降沿啟動(dòng)a/d轉(zhuǎn)換)。 7(eoc): ad轉(zhuǎn)換結(jié)束信號(hào),輸出,當(dāng)ad轉(zhuǎn)換結(jié)束時(shí),此端輸出一個(gè)高電平(轉(zhuǎn)換期間一直為低電平)。 9(oe):數(shù)據(jù)輸出

7、允許信號(hào),輸入,高電平有效。當(dāng)ad轉(zhuǎn)換結(jié)束時(shí),此端輸入一個(gè)高電平,才能打開輸出三態(tài)門,輸出數(shù)字量。 10(clk):時(shí)鐘脈沖輸入端。要求時(shí)鐘頻率不高于640khz。 12(vref(+)和16(vref(-):參考電壓輸入端 2325(adda、addb、addc):3位地址輸入線,用于選通8路模擬輸入中的一路。11(vcc):主電源輸入端;13(gnd):地。(圖中沒顯示出來)上圖中,74hc74為雙上升沿d觸發(fā)器。adda、addb、addc接地,選擇in0為模擬量輸入端。為了調(diào)試方便,輸入端通過一個(gè)單刀雙擲開關(guān)選擇電位器模擬輸出或應(yīng)變片感應(yīng)信號(hào)輸出,先擲電位器端當(dāng)adc0808工作正常時(shí)

8、,再擲向應(yīng)變片感應(yīng)信號(hào)輸出端。由于adc0808芯片的時(shí)鐘頻率的要求,則需要將單片機(jī)的ale信號(hào)分頻再傳給adc0808,本設(shè)計(jì)選用兩個(gè)d觸發(fā)器對(duì)ale信號(hào)進(jìn)行分頻。adc0808數(shù)字輸出端直接接單片機(jī)p1口即可。3.顯示電路設(shè)計(jì):(1)液晶顯示原理簡介:液晶顯示的原理是利用液晶的物理特性,通過電壓對(duì)其顯示區(qū)域進(jìn)行控制,有電就有顯示,這樣即可以顯示出圖形。本設(shè)計(jì)采用的是字符式液晶顯示器lcd1602.用lcd顯示一個(gè)字符時(shí)比較復(fù)雜,因?yàn)橐粋€(gè)字符由或點(diǎn)陣組成,既要找到和顯示屏幕上某幾個(gè)位置對(duì)應(yīng)的顯示ram區(qū)的8字節(jié),還要使每字節(jié)的不同位為“1”,其它的為“0”,為“1”的點(diǎn)亮,為“0”的不亮。這

9、樣一來就組成某個(gè)字符。但由于內(nèi)帶字符發(fā)生器的控制器來說,顯示字符就比較簡單了,可以讓控制器工作在文本方式,根據(jù)在lcd上開始顯示的行列號(hào)及每行的列數(shù)找出顯示ram對(duì)應(yīng)的地址,設(shè)立光標(biāo),在此送上該字符對(duì)應(yīng)的代碼即可。(2)顯示電路圖:lcd1602引腳功能:3腳(vee)為液晶顯示器對(duì)比度調(diào)整端,接正電源時(shí)對(duì)比度最弱,接地時(shí)對(duì)比度最高,對(duì)比度過高會(huì)產(chǎn)生“鬼影”,實(shí)際使用時(shí)可以通過一個(gè)10k的電位器調(diào)整對(duì)比度。4腳(rs)為寄存器選擇端,高電平時(shí)選擇數(shù)據(jù)寄存器、低電平時(shí)選擇指令寄存器。5腳(rw)為讀寫信號(hào)線,高電平時(shí)進(jìn)行讀操作、低電平時(shí)進(jìn)行寫操作。當(dāng)rs和rw共同為低電平時(shí)可以寫入指令或者顯示地

10、址,當(dāng)rs為低電平rw為高電平時(shí)可以讀忙信號(hào),當(dāng)rs為高電平rw為低電平時(shí)可以寫入數(shù)據(jù)。6腳(e)為時(shí)能端,當(dāng)e端由高電平跳變成低電平時(shí),液晶模塊執(zhí)行命令。714腳(d0d7)為8位雙向數(shù)據(jù)線。15腳為背光源正極;16腳為背光源負(fù)極。圖中rn1為10k排阻,用作上拉電阻。4.報(bào)警電路設(shè)計(jì):本設(shè)計(jì)采用蜂鳴器作為報(bào)警器,從而實(shí)現(xiàn)閥值報(bào)警功能。其設(shè)計(jì)電路如圖所示,將蜂鳴器的正極接vcc,負(fù)極接pnp三極管s9012的集電極,s9012的基極串聯(lián)一個(gè)2k的電阻再接到單片機(jī)p2.4口,當(dāng)p2.4為高電平時(shí),s9012無法導(dǎo)通,蜂鳴器不叫,當(dāng)p2.4為低電平時(shí),s9012導(dǎo)通,蜂鳴器報(bào)警。電路圖如下:四、

11、總原理圖及元器件清單1總原理圖主程序設(shè)計(jì)的流程如下圖所示,開機(jī)后先對(duì)lcd1602進(jìn)行初始化,并顯示單價(jià)及上限閥值,接下去則循環(huán)采集ad數(shù)據(jù)及鍵盤程序。2.ad數(shù)據(jù)采集程序設(shè)計(jì):ad數(shù)據(jù)采集由adc0808芯片來完成,主要分為啟動(dòng)、讀取數(shù)據(jù)、等待轉(zhuǎn)換結(jié)束、讀出轉(zhuǎn)換結(jié)果、采集的數(shù)據(jù)求和、取平均幾個(gè)步驟。adc0809初始化后,就具有了將某一通道輸入的05v模擬信號(hào)轉(zhuǎn)換成對(duì)應(yīng)的數(shù)字量0x000xff,然后再存入指定緩沖單元中。其轉(zhuǎn)換方式可以采用程序查詢方式,延時(shí)等待方式和中斷方式三種。本設(shè)計(jì)采用的是延時(shí)等待方式,具體程序流程圖如圖所示。ad采集子程序啟動(dòng)adc0808等待轉(zhuǎn)換結(jié)束采集數(shù)據(jù)將所采集的

12、數(shù)據(jù)相加是否采集了10次?返回主程序采集數(shù)據(jù)取平均yn開始lcd1602初始化顯示初始化ad數(shù)據(jù)采集及處理鍵盤掃描及處理3.鍵盤處理程序設(shè)計(jì):在本設(shè)計(jì)中,總共用到三個(gè)按鍵。按鍵0是模式切換鍵,按一下進(jìn)入單價(jià)設(shè)定狀態(tài),按兩下進(jìn)入最大稱量值設(shè)定狀態(tài),按三下進(jìn)入去皮處理狀態(tài),再按一下返回正常顯示。按鍵1實(shí)現(xiàn)對(duì)單價(jià)或最大稱量值加一的功能。按鍵2實(shí)現(xiàn)對(duì)單價(jià)或最大稱量值減一的功能。每個(gè)按鍵對(duì)應(yīng)的流程圖如下所示。按鍵0子程序返回主程序count+1count=0count=1,進(jìn)入單價(jià)調(diào)整狀態(tài)count=2,進(jìn)入上限調(diào)整狀態(tài)count=3,進(jìn)入去皮處理狀態(tài)count=3?yn單價(jià)-1量程上限-1按鍵2子程序

13、返回主程序count=1?count=2?yynn單價(jià)+1量程上限+1按鍵1子程序返回主程序count=1?count=2?yynn程序如下:#include#include#include#define uchar unsigned char#define uint unsigned intsbit an=p23;sbit ep=p25;sbit rw=p26;sbit rs=p27;sbit st=p35;sbit b_dis=p24;sbit busy=p33;sbit en=p34;uchar code table=hello,welcome!;uchar code table1=de

14、sign2008041533;uchar code table2=too heavy!;uchar code table3=please slow down;unsigned char count,pr=5,max=199,key_num=0,num,m,s,d,h,l;unsigned int g=0x00;unsigned char bcd_dis4,bcd_p2,bcd_m4,bcd_z5;void delay(unsigned int i)for(;i0;i-);void delay1(uint z)uint x,y;for(x=z;x0;x-)for(y=110;y0;y-);voi

15、d write_com(uchar com)rs=0;p0=com;delay1(5);ep=1;delay1(5);ep=0;void write_data(uchar date)rs=1;p0=date;delay1(5);ep=1;delay1(5);ep=0;void init()ep=0;write_com(0x38);write_com(0x0c);write_com(0x06);write_com(0x01);void mall()while(1) rw=0;init();write_com(0x80+0x10);for(num=0;num14;num+)write_data(t

16、ablenum);delay1(5);write_com(0x80+0x50);for(num=0;num16;num+)write_data(table1num);delay1(5);for(num=0;num16;num+)write_com(0x18);delay1(400);delay1(3000);void maii() rw=0;init();write_com(0x80);for(num=0;num10;num+)write_data(table2num);delay1(5);write_com(0x80+0x40);for(num=0;num16;num+)write_data

17、(table3num);delay1(12);delay1(400);void lcd_wc(unsigned char command)rs=0;rw=0;ep=0;delay(2);p0=command;delay(4);ep=1;delay(4);ep=0;void lcd_wd(unsigned char dat)rs=1;rw=0;ep=0;delay(2);p0=dat;delay(2);ep=1;delay(4);ep=0;void lcd_on(void)lcd_wc(0x0c);delay(256); void lcd_clear(void)lcd_wc(0x01);dela

18、y(256);void lcd_set_pos(unsigned char wz)lcd_wc(wz|0x80); /?delay(256);void lcd_init(void)lcd_wc(0x38);delay(256);lcd_on();lcd_wc(0x06);delay(256);lcd_clear(); void lcd_disp(unsigned char string)int i=0;while(stringi)lcd_wd(stringi+);delay(1000); void a_d(void)g=0;for(h=0;h10;h+)p1=0xff;st=0;delay(1

19、);st=1;delay(1);st=0;while(busy);g=g+p1;delay(1000);unsigned char key(void)unsigned char temp,i;key_num=0;if(p2&0x0f)=0x0f)return(0xff);delay(5);if(p2&0x0f)=0x0f)return(0xff);temp=p2&0x0f;for(i=0;i1;key_num+;while(p2&0x0f)!=0x0f);return(key_num); void bcd_p(unsigned char b)bcd_p0=b%10+0x30;bcd_p1=0x

20、00;void bcd(unsigned char b)bcd_dis0=b/100+0x30;bcd_dis1=b/10%10+0x30;bcd_dis2=b%10+0x30;bcd_dis3=0x00;void bcd_z(unsigned int b)bcd_z0=b/1000+0x30; bcd_z1=b/100%10+0x30; bcd_z2=b/10%10+0x30;bcd_z3=b%10+0x30; bcd_z4=0x00;unsigned char f_bcd(void)d=(bcd_m0-0x30)*100+(bcd_m1-0x30)*10+bcd_m2-0x30;retur

21、n(d);void m_init(void)lcd_set_pos(0x00);lcd_disp(p:);lcd_set_pos(0x08);lcd_disp(m: g); lcd_set_pos(0x40);lcd_disp($:);lcd_set_pos(0x48);lcd_disp(max:);lcd_set_pos(0x02);bcd_p(pr);lcd_disp(bcd_p);lcd_set_pos(0x4c);bcd(max);lcd_disp(bcd_dis);b_dis=1;bcd(max);bcd_m0=bcd_dis0;bcd_m1=bcd_dis1;bcd_m2=bcd_

22、dis2;bcd_m3=bcd_dis3;s=max;bcd_p(pr);lcd_set_pos(0x42);bcd_z(int)m*(bcd_p0-0x30);lcd_disp(bcd_z);void key_0(void)if(count=3)count=0;else count+=1;switch(count)case 1:lcd_set_pos(0x4f);lcd_disp( );lcd_set_pos(0x05);lcd_disp(?);break;case 2:lcd_set_pos(0x05);lcd_disp( );lcd_set_pos(0x4f);lcd_disp(?);b

23、reak;case 3:lcd_set_pos(0x05);lcd_disp( );lcd_set_pos(0x4f);lcd_disp( );l=g/10;/?/lcd_set_pos(0x0a);/bcd(m);/lcd_disp(bcd_dis);break;default:lcd_set_pos(0x05);lcd_disp( );lcd_set_pos(0x4f);lcd_disp( );break;void key_1(unsigned char b)if(count=1)bcd_p0+=1;if(bcd_p0=0x3a)bcd_p0=0x30;lcd_set_pos(0x02);

24、lcd_disp(bcd_p);if(count=2)bcd_m2+=1;if(bcd_m2=0x3a) bcd_m2=0x30;bcd_m1+=1;if(bcd_m1=0x3a)bcd_m1=0x30;bcd_m0+=1;if(bcd_m0=0x32)&(bcd_m1=0x30) bcd_m0=0x30;bcd_m1=0x30;bcd_m2=0x31;lcd_set_pos(0x4c);lcd_disp(bcd_m);f_bcd();s=d;if(sm)b_dis=0;void key_2(unsigned char b)if(count=1)bcd_p0-=1;if(bcd_p0=0x2f

25、)bcd_p0=0x39;lcd_set_pos(0x02);lcd_disp(bcd_p);if(count=2)bcd_m2-=1;if(bcd_m2=0x2f) bcd_m2=0x39;bcd_m1-=1;if(bcd_m1=0x2f)bcd_m1=0x39;bcd_m0-=1;if(bcd_m0=0x30)&(bcd_m1=0x30)&(bcd_m2=0x30) bcd_m0=0x32;bcd_m1=0x30;bcd_m2=0x30;lcd_set_pos(0x4c);lcd_disp(bcd_m);f_bcd();s=d;if(sl)/l是固定值,g/10是變化值m=g/10-l;e

26、lse m=l-g/10;else m=g/10;lcd_set_pos(0x0a);bcd(m);lcd_disp(bcd_dis);if(sm)b_dis=0;maii();lcd_init();delay(15*256);m_init();lcd_set_pos(0x42);bcd_z(int)m*(bcd_p0-0x30);lcd_disp(bcd_z); 2元件清單器件名稱個(gè)數(shù)器件名稱個(gè)數(shù)adc08091電解電容4.7uf1lcd16021電解電容10uf1lm324 1電容0.1uf2stc89c521電容22pf274hc74 1電位器10k2電阻1k 1復(fù)位鍵 5電阻2k 1

27、蜂鳴器 1電阻10k 5 三極管pnp90121電阻20k 2 發(fā)光二極管1電阻1m 2 電源 1開關(guān) 1 晶體振蕩器1重力傳感器1五、安裝與調(diào)試1.pcb設(shè)計(jì): 本設(shè)計(jì)通過altium designer 6軟件設(shè)計(jì)pcb電路板,先進(jìn)行原理圖設(shè)計(jì),然后導(dǎo)入pcb圖布局布線。下圖分別為原理圖和pcb圖。2.元器件焊接與功能調(diào)試:pcb圖中元器件焊接完成后,進(jìn)行橋式測(cè)量電路的焊接,并將其用雙面膠黏在電路板上。經(jīng)過測(cè)試,實(shí)現(xiàn)了通過手按應(yīng)變片的壓力大小改變液晶顯示稱量值功能,而且稱量值與壓力基本上成正比。橋式電路引出的四條線中,紅色短線為輸出u+,藍(lán)色導(dǎo)線輸出u-,紅色長線為電壓輸入正極,黑線為電壓輸

28、入負(fù)極。最下面兩個(gè)插針接電路板5v電壓輸入(上負(fù)下正),旁邊為自鎖開關(guān)控制電源通斷。電路板有三個(gè)電位器,左上方的用來調(diào)節(jié)液晶亮度,左下方的為模擬傳感器輸出信號(hào)用的電位器,右上方電位器用來調(diào)節(jié)放大電路增益。按鍵從上到下依次為外加功能鍵、減一鍵、加一鍵、模式切換鍵、復(fù)位鍵。六、性能測(cè)試與分析1.通過查找相關(guān)資料得知,一般的mcs-51中ale的輸出波形是固定的,但有幾條指令ale的輸出波形是不同的,所以proteus無法得知你的程序,也就無法給出確定的脈沖輸出。所以雖然ale在實(shí)際的電路中有信號(hào)輸出,但用proteus仿真時(shí)ale則一直為高電平狀態(tài),若用作74hc74時(shí)鐘信號(hào),adc0808不能正常進(jìn)行沒有信號(hào)輸出,如下圖所示:2.本設(shè)計(jì)仿真時(shí)用等效的2m時(shí)鐘信號(hào)作為74hc74的時(shí)鐘輸入信號(hào),如下圖所示。圖中按鍵自上而下依次為按鍵0,1,2,3。在仿真時(shí),調(diào)整相關(guān)電阻值后,應(yīng)變片電阻變化與電壓和顯示重量的對(duì)應(yīng)變化關(guān)系如下表所示:應(yīng)變片阻值變化/0.000.010.020.030.040.050.100.200.300.501.00電壓/mv0.000.020.060.100.140.170.350.

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論