全國(guó)大學(xué)生電子設(shè)計(jì)方案競(jìng)賽歷屆題目_第1頁(yè)
全國(guó)大學(xué)生電子設(shè)計(jì)方案競(jìng)賽歷屆題目_第2頁(yè)
全國(guó)大學(xué)生電子設(shè)計(jì)方案競(jìng)賽歷屆題目_第3頁(yè)
全國(guó)大學(xué)生電子設(shè)計(jì)方案競(jìng)賽歷屆題目_第4頁(yè)
全國(guó)大學(xué)生電子設(shè)計(jì)方案競(jìng)賽歷屆題目_第5頁(yè)
已閱讀5頁(yè),還剩54頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽歷屆題目第一屆1994年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目 錯(cuò)誤!未定義書(shū)簽。題目一簡(jiǎn)易數(shù)控直流電源 錯(cuò)誤!未定義書(shū)簽。題目二多路數(shù)據(jù)采集系統(tǒng) 錯(cuò)誤!未定義書(shū)簽。第二屆1995年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目 錯(cuò)誤!未定義書(shū)簽。題目一實(shí)用低頻功率放大器 錯(cuò)誤!未定義書(shū)簽。題目二實(shí)用信號(hào)源的設(shè)計(jì)和制作 錯(cuò)誤!未定義書(shū)簽。題目三簡(jiǎn)易無(wú)線電遙控系統(tǒng) 錯(cuò)誤!未定義書(shū)簽。題目四簡(jiǎn)易電阻、電容和電感測(cè)試儀錯(cuò)誤!未定義書(shū)簽。第三屆1997年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目 錯(cuò)誤!未定義書(shū)簽。A題直流穩(wěn)定電源 錯(cuò)誤!未定義書(shū)簽。B題簡(jiǎn)易數(shù)字頻率計(jì)錯(cuò)誤!未定義書(shū)簽。C題水溫控制系統(tǒng)錯(cuò)誤!未定義書(shū)簽。D

2、題調(diào)幅廣播收音機(jī)*錯(cuò)誤!未定義書(shū)簽。第四屆1999年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目 錯(cuò)誤!未定義書(shū)簽。A題測(cè)量放大器錯(cuò)誤!未定義書(shū)簽。B題數(shù)字式工頻有效值多用表錯(cuò)誤!未定義書(shū)簽。C題頻率特性測(cè)試儀錯(cuò)誤!未定義書(shū)簽。D題短波調(diào)頻接收機(jī)錯(cuò)誤!未定義書(shū)簽。E題數(shù)字化語(yǔ)音存儲(chǔ)與回放系統(tǒng) 錯(cuò)誤!未定義書(shū)簽。第五屆2001年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目 錯(cuò)誤!未定義書(shū)簽。A題波形發(fā)生器錯(cuò)誤!未定義書(shū)簽。B題簡(jiǎn)易數(shù)字存儲(chǔ)示波器錯(cuò)誤!未定義書(shū)簽。C題自動(dòng)往返電動(dòng)小汽車 錯(cuò)誤!未定義書(shū)簽。D題高效率音頻功率放大器錯(cuò)誤!未定義書(shū)簽。E題數(shù)據(jù)采集與傳輸系統(tǒng)錯(cuò)誤!未定義書(shū)簽。F題調(diào)頻收音機(jī)錯(cuò)誤!未定義書(shū)簽。第六屆200

3、3年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目 錯(cuò)誤!未定義書(shū)簽。電壓控制LC振蕩器A題)錯(cuò)誤!未定義書(shū)簽。寬帶放大器B題)錯(cuò)誤!未定義書(shū)簽。低頻數(shù)字式相位測(cè)量?jī)x C題)錯(cuò)誤!未定義書(shū)簽。簡(jiǎn)易邏輯分析儀D題)錯(cuò)誤!未定義書(shū)簽。簡(jiǎn)易智能電動(dòng)車E題)錯(cuò)誤!未定義書(shū)簽。液體點(diǎn)滴速度監(jiān)控裝置 F題)錯(cuò)誤!未定義書(shū)簽。 第七屆2005年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目 錯(cuò)誤!未定義書(shū)簽。正弦信號(hào)發(fā)生器A題)錯(cuò)誤!未定義書(shū)簽。集成運(yùn)放參數(shù)測(cè)試儀B題)錯(cuò)誤!未定義書(shū)簽。簡(jiǎn)易頻譜分析儀C題)錯(cuò)誤!未定義書(shū)簽。單工無(wú)線呼叫系統(tǒng)D題)錯(cuò)誤!未定義書(shū)簽。懸掛運(yùn)動(dòng)控制系統(tǒng)E題)錯(cuò)誤!未定義書(shū)簽。數(shù)控直流電流源F題)錯(cuò)誤!未定義書(shū)簽。三

4、相正弦波變頻電源G題)錯(cuò)誤!未定義書(shū)簽。第八屆2007年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目 錯(cuò)誤!未定義書(shū)簽。音頻信號(hào)分析儀A題)【本科組】錯(cuò)誤!未定義書(shū)簽。 無(wú)線識(shí)別裝置B題)【本科組】錯(cuò)誤!未定義書(shū)簽。數(shù)字示波器C題)【本科組】 錯(cuò)誤!未定義書(shū)簽。 程控濾波器D題)【本科組】 錯(cuò)誤!未定義書(shū)簽。開(kāi)關(guān)穩(wěn)壓電源E題)【本科組】 錯(cuò)誤!未定義書(shū)簽。 電動(dòng)車蹺蹺板F題)【本科組】錯(cuò)誤!未定義書(shū)簽。積分式直流數(shù)字電壓表 G題)【高職高專組】 錯(cuò)誤!未定義書(shū)簽。 信號(hào)發(fā)生器H題)【高職高專組】 錯(cuò)誤!未定義書(shū)簽??煽胤糯笃?題)【高職高專組】 錯(cuò)誤!未定義書(shū)簽。 電動(dòng)車蹺蹺板J題)【高職高專組】錯(cuò)誤!未定義

5、書(shū)簽。第一屆1994年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目題目一簡(jiǎn)易數(shù)控直流電源一、設(shè)計(jì)任務(wù)設(shè)計(jì)出有一定輸出電壓范圍和功能的數(shù)控電源。其原理示意圖如下:、設(shè)計(jì)要求1基本要求1 )輸出電壓:范圍0+ 99V ,步進(jìn)0.1V紋波不大于 10mV;2 )輸出電流:500mA;3)輸出電壓值由數(shù)碼管顯示;4)由 “ +” 、a-”兩鍵分別控制輸出電壓步進(jìn)增減;5)為實(shí)現(xiàn)上述幾部件工作,自制一 急壓直流電源,輸出15V,+ 5V。2發(fā)揮部分1) 輸出電壓可預(yù)置在079.9V之間的任 意一個(gè)值;2)用自動(dòng)掃描代替人 工按鍵,實(shí)現(xiàn)輸出電壓變化步進(jìn)0.1V不變);3)擴(kuò)展輸出電壓種類 比如三角波等)。三、評(píng)分意見(jiàn)20

6、0Hz2kHz范圍變化,再經(jīng)頻率電壓變換后輸出相應(yīng)15V直流電壓200Hz對(duì)應(yīng)1V , 2kHz對(duì)應(yīng)項(xiàng)目得分方案設(shè)計(jì)與論證、理論計(jì)算與分析、電路圖30實(shí)際完成情況50基本要求總結(jié)報(bào)告20完成第項(xiàng)5完成第二項(xiàng)15發(fā)揮部分完成第三項(xiàng)20題目二多路數(shù)據(jù)采集系統(tǒng)一、設(shè)計(jì)任務(wù)設(shè)計(jì)一個(gè)八路數(shù)據(jù)采集系統(tǒng),系統(tǒng)原理框圖如下:主控器能對(duì)50M以外的各路數(shù)據(jù),通過(guò)串行傳輸線實(shí)驗(yàn)中用1M線代替)進(jìn)行采集的顯示和顯示。具體設(shè)計(jì)任務(wù)是:1 )現(xiàn)場(chǎng)模擬信號(hào)產(chǎn)生器2)八路數(shù)據(jù)采集器3 )主控器。、設(shè)計(jì)要求1)現(xiàn)場(chǎng)模擬信號(hào)產(chǎn)生器:自制一正弦波信號(hào)發(fā)生器,利用可變電阻改變振蕩頻率,使頻率在5V)。2)八路數(shù)據(jù)采集器:數(shù)據(jù)采集器

7、第 1路輸入自制15V直流電壓,第27路分別輸入來(lái)自直流源 的5, 4, 3, 2, 1 , 0V直流電壓 各路輸入可由分壓器產(chǎn)生,不要求精度),第8路備用。將各路模擬信號(hào)分別轉(zhuǎn)換成8位二進(jìn)制數(shù)字信號(hào),再經(jīng)并/串變換電路,用串行碼送入傳輸線路。3)主控器:主控器通過(guò)串行傳輸線路對(duì)各路數(shù)據(jù)進(jìn)行采集和顯示。采集方式包括循環(huán)采集即1路、2路8路、1路)和選擇采集 任選一路)二種方式。顯示部分能同時(shí)顯示地址和相應(yīng)的數(shù) 據(jù)。2.發(fā)揮部分1 )利用電路補(bǔ)償或其它方法提高可變電阻值變化與輸出直流電壓變化的線性關(guān)系; 2) 盡 可 能 減 少 傳 輸 線 數(shù) 目 ;3 )其它功能的改進(jìn) 例如:增加傳輸距離,改

8、善顯示功能)。三、評(píng)分意見(jiàn)項(xiàng)目得分方案設(shè)計(jì)與論證、理論計(jì)算與分析、電路圖30實(shí)際完成情況50基本要求總結(jié)報(bào)告20完成第項(xiàng)15完成第二項(xiàng)15發(fā)揮部分完成第三項(xiàng)10第二屆1995年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目題目一實(shí)用低頻功率放大器一、任務(wù)設(shè)計(jì)并制作具有弱信號(hào)放大能力的低頻功率放大器。其原理示意圖如下:、要求1.基1)在放大通道的正弦信號(hào)輸入電壓幅度為本 5010000)Hz;在POR 下 和BW內(nèi)的非線性失真系數(shù) 55%;在前置放大級(jí)輸入端交流短接到地時(shí),RL=8Q上的交流聲功率 10mW2)自行設(shè)計(jì)并制作滿足本設(shè)計(jì)任務(wù)要求的穩(wěn)壓電源。2.發(fā)揮部分 5010000 )Hz;在 POR 下輸出波形

9、上升時(shí)間和下降時(shí)間 12“;在 POR 下 輸 出 波 形 頂 部 斜 降 2% ;在POR下輸出波形過(guò)沖量 5%2 )放大通道性能指標(biāo)的提高和實(shí)用功能的擴(kuò)展 例如提高效率、減小非線性失真等)。三、評(píng)分意見(jiàn)項(xiàng)目得分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論計(jì)算與分 析,電路圖,測(cè)試方法與數(shù)據(jù),結(jié)果分析50基本要求實(shí)際制作完成情況50完成第項(xiàng)20完成第二項(xiàng)10發(fā)揮部分特色與創(chuàng)新20題目二 實(shí)用信號(hào)源的設(shè)計(jì)和制作一、任務(wù)在給定15V電源電壓條件下,設(shè)計(jì)并制作一個(gè)正弦波和脈沖波信號(hào)源。二、要求1 .基本要求1)正弦波信號(hào)源信號(hào)頻率 :20Hz 20kHz步進(jìn)調(diào) 整,步長(zhǎng)為5Hz頻率穩(wěn)疋度:優(yōu)于10-4非線

10、性失真系數(shù) 3%2)脈沖波信號(hào)源信號(hào)頻率 :20Hz 20kHz步進(jìn)調(diào) 整,步長(zhǎng)為5Hz上升時(shí)間和下降時(shí)間:W 1平頂斜降:w 5%脈沖占空比: 2% -98%步進(jìn)可調(diào),步長(zhǎng)為2%3)上述兩個(gè)信號(hào)源公共要求頻率可預(yù)置。在負(fù)載為600 Q時(shí)輸出幅 度為3V。完成5位頻率的數(shù)字顯示。2.發(fā)揮部分1)正 弦波 和 脈 沖 波頻 率 步長(zhǎng)改 為1Hz 。2 )正弦波和脈沖波幅度可步進(jìn)調(diào)整,調(diào)整范圍為100mV3V ,步長(zhǎng)為100mV。3) 正弦波和脈沖波頻率可自動(dòng)步進(jìn), 步長(zhǎng)為1Hz 。4 )降低正弦波非線性失真系數(shù)。 三、評(píng)分標(biāo)準(zhǔn)項(xiàng)目得分基本要求設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論計(jì)算與分析,電 路

11、圖,測(cè)試方法與數(shù)據(jù),結(jié)果分析50被控設(shè)備實(shí)際制作完成情況50兀成第項(xiàng)10完成第二項(xiàng)10完成第三項(xiàng)5發(fā)揮部分完成第四項(xiàng)5特色與創(chuàng)新20題目三簡(jiǎn)易無(wú)線電遙控系統(tǒng)一、任務(wù)設(shè)計(jì)并制作無(wú)線電遙控發(fā)射機(jī)和接收機(jī)。1無(wú)線電遙控發(fā)射機(jī)2無(wú)線電遙控接收機(jī)二、要求1基本要求1)工作頻率 :fo=610MHz中任選一 種頻率。2)調(diào)制方式:AM、FM或FSK任 選-一-種。3 )輸出功率: :不大于20mW 在標(biāo)準(zhǔn) 75 Q假負(fù)載上)。4 ) 遙控對(duì)象:8個(gè),被控設(shè)備用 LED分別 代替,LED發(fā)光表示工作。5)接收機(jī)距離發(fā)射機(jī)不小于10m。2發(fā)揮部分1) 8路設(shè)備中的一路為電燈,用指令遙控電燈亮度,亮度分為8級(jí)并

12、用數(shù)碼管顯示級(jí)數(shù)。2 )在一定發(fā)射功率下 不大于20mW ),盡量增大接收距離。3)增加信道抗干擾措施。4)盡量降低電源功耗。注:不能采用現(xiàn)成的收、發(fā)信機(jī)整機(jī)。三、評(píng)分意見(jiàn)外洪直流穩(wěn)壓電源項(xiàng)目得分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論計(jì)算與分析,電路 圖,測(cè)試方法與數(shù)據(jù),結(jié)果分析50基本要求實(shí)際制作完成情況50完成第1 )項(xiàng)12完成第2 )項(xiàng)8完成第3 )項(xiàng)5完成第4 )項(xiàng)5發(fā)揮部分特色與創(chuàng)新20題目四 簡(jiǎn)易電阻、電容和電感測(cè)試儀一、任務(wù)設(shè)計(jì)并制作一臺(tái)數(shù)字顯示的電阻、電容和電感參數(shù)測(cè)試儀,示意框圖如下:二、要求1基本要求1 )測(cè)量范圍:電阻100Q 1MQ;電容100pF -10000pF ;電

13、感 100叮10mH。2)測(cè)量精度:犬。3)制作4位數(shù)碼管顯示器,顯示測(cè)量數(shù)值,并用發(fā)光二極管分別指示所測(cè)元件的類型和單位。2發(fā)揮部分1)擴(kuò)大測(cè)量范圍。2)提高測(cè)量精度。3)測(cè)量量程自動(dòng)轉(zhuǎn)換。三、評(píng)分意見(jiàn)項(xiàng)目得分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論計(jì)算與分析,電 路圖,測(cè)試方法與數(shù)據(jù),結(jié)果分析50基本要求實(shí)際制作完成情況50完成第1)項(xiàng)9完成第2)項(xiàng)9發(fā)揮部分完成第3)項(xiàng)1220特色與創(chuàng)新第三屆1997年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目A題直流穩(wěn)定電源一、任務(wù)設(shè)計(jì)并制作交流變換為直流的穩(wěn)定電源。、要求1.基本要求1 )穩(wěn)壓電源 在輸入電壓220V、50Hz、電壓變化范圍+ 15% -20%條件下:

14、a . 輸 出 電 壓 可 調(diào) 范 圍 為 +9V+12Vb.最大輸出電流為1.5Ac .電壓調(diào)整率 0.2%輸入電壓220V 變化范圍+ 15%-20%下,空載到滿載)d .負(fù)載調(diào)整率 w 1% 40%輸出電壓 9V 、輸入一電壓220V 下, 滿載)g.具有過(guò)流及短路保護(hù)功能2)穩(wěn)流電源在輸入電壓固疋為 +12V的條件下:a.輸出電流:420mA可調(diào)b.負(fù)載調(diào)整率w 1%俞入電壓+12V、負(fù)載電阻由200Q300Q變化時(shí),輸出電流為20mA時(shí)的相對(duì)變化率)3 )DC-DC 變換器在輸入電 壓為 +9V+12V條件下:a .輸出電壓為+100V輸出電流為10mAb .電壓調(diào)整率 w 1%輸入

15、電壓變化范圍 +9V+12V)c . 負(fù)載調(diào)整率 W 1%輸入電壓 +12V 下, d.紋波電壓 峰-峰值)w 100mV 輸入電壓+9V下,滿載)空載至U滿載)2發(fā)揮部分1)擴(kuò)充功能a .排除短路故障后,自動(dòng)恢復(fù)為正常狀態(tài)b.過(guò)熱保護(hù)c.防止開(kāi)、關(guān)機(jī)時(shí)產(chǎn)生的“ 過(guò)沖”2)提高穩(wěn)壓電源的技術(shù)指標(biāo)a.提高電壓調(diào)整率和負(fù)載調(diào)整率b . 擴(kuò)大輸出電壓調(diào)節(jié)范圍和提高最大輸出電流值3)改善DC-DC變換器a.提高效率在100V、100mA下)b.提高輸出電壓4)用數(shù)字顯示輸出電壓和輸出電流三、評(píng)分意見(jiàn)項(xiàng)目得分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論分析與計(jì)算,電路圖,測(cè)試 方法與數(shù)據(jù),對(duì)測(cè)試結(jié)果的分析50基

16、本要求實(shí)際制作完成情況50完成第1 )項(xiàng)9完成第2 )項(xiàng)15完成第3 )項(xiàng)6完成第4 )項(xiàng)10發(fā)揮部分特色與創(chuàng)新10B題簡(jiǎn)易數(shù)字頻率計(jì)一、 任務(wù)設(shè)計(jì)并制作一臺(tái)數(shù)字顯示的簡(jiǎn)易頻率計(jì)。二、 要求1基本要求1)頻率測(cè)量a .測(cè)量范圍信號(hào):方波、正弦波;幅度:0.5V5V;頻率:1Hz1MHzb測(cè)量誤差 0.1%2)周期測(cè)量a .測(cè)量范圍信號(hào):方波、正弦波;幅度:0.5V5V;頻率:1Hz1MHzb測(cè)量誤差 0.1% 100“b測(cè)量誤差 1%4)顯示器十進(jìn)制數(shù)字顯示,顯示刷新時(shí)間1io秒連續(xù)可調(diào),對(duì)上述三種測(cè)量功能分別用不同顏色的發(fā)光二極管指示5) 具有自校功能, 時(shí)標(biāo)信號(hào)頻率為 1MHz 。6 )自

17、行設(shè)計(jì)并制作滿足本設(shè)計(jì)任務(wù)要求的穩(wěn)壓電源。2.發(fā)揮部分1)擴(kuò)展頻率測(cè)量范圍為0.1Hz10MHz信號(hào)幅度0.5V5V),測(cè)量誤差降低為 0.01%最大閘門時(shí)間 10s)。2)測(cè)量并顯示周期脈沖信號(hào) 幅度0.5V5V、頻率1Hz1kHz )的占空比,占空比變化范圍為10%90%,測(cè)量誤差 1%。3)在1Hz1MHz范圍內(nèi)及測(cè)量誤差 1%的條件下,進(jìn)行小信號(hào)的頻率測(cè)量,提出并實(shí)現(xiàn)抗干擾的 措施。三、評(píng)分意見(jiàn)項(xiàng)目得分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論分析與計(jì) 算,電路圖,測(cè)試方法與數(shù)據(jù),對(duì)測(cè)試結(jié)果的分析50基本要求實(shí)際制作完成情況50完成第1)項(xiàng)10 1完成第2)項(xiàng)10完成第3)項(xiàng)20發(fā)揮部分特色

18、與創(chuàng)新10C題水溫控制系統(tǒng)一、任務(wù)設(shè)計(jì)并制作一個(gè)水溫自動(dòng)控制系統(tǒng),控制對(duì)象為1升凈水,容器為搪瓷器皿。水溫可以在一定范圍內(nèi)由人工設(shè)定,并能在環(huán)境溫度降低時(shí)實(shí)現(xiàn)自動(dòng)控制,以保持設(shè)定的溫度基本不變。二、要求1.基本要求1 )溫度設(shè)定范圍為4090 C ,最小區(qū)分度為1 C ,標(biāo)定溫度W1C。 2 )環(huán)境溫度降低時(shí) 例如用電風(fēng)扇降溫)溫度控制的靜態(tài)誤差wi C。3 )用十進(jìn)制數(shù)碼管顯示水的實(shí)際溫度。2.發(fā)揮部分1)采用適當(dāng)?shù)目刂品椒?,?dāng)設(shè)定溫度突變由40 C提高到60C)時(shí),減小系統(tǒng)的調(diào)節(jié)時(shí)間和超調(diào)量。2)溫度控制的靜態(tài)誤差w 0.2C。3)在設(shè)定溫度發(fā)生突變 由40C提高到60C)時(shí),自動(dòng)打印水溫

19、隨時(shí)間變化的曲線。 三、評(píng)分意見(jiàn)項(xiàng)目得分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論分析與計(jì)算, 電路圖,測(cè)試方法與數(shù)據(jù),對(duì)測(cè)試結(jié)果的分析50基本要求實(shí)際制作完成情況50減小調(diào)節(jié)時(shí)間和超調(diào)量20溫度控制的靜態(tài)誤差w 0.C10實(shí)現(xiàn)打印曲線功能10發(fā)揮部分特色與創(chuàng)新10D題調(diào)幅廣播收音機(jī)*一、任務(wù)利用所提供的元器件 附有資料)制作一個(gè)中波廣播收音機(jī)。二、要求1.基本要求1)接收頻率范圍:540kHz1600kHz;2)調(diào)諧方式:手動(dòng)電調(diào)諧; 100mW;4)測(cè)量靈敏度、選擇性、鏡像抑制比和電調(diào)諧特性測(cè)量時(shí)用信號(hào)發(fā)生器直接注入) ;寫明測(cè)試方法,記錄實(shí)測(cè)值,畫(huà)出曲線。2.發(fā)揮部分1 )自動(dòng)和手動(dòng)搜索電臺(tái)并

20、有存儲(chǔ)功能 可利用所提供的鎖相環(huán)器件,或其它方法實(shí)現(xiàn));2) 可預(yù)置電臺(tái)數(shù)目: 預(yù)置電臺(tái)數(shù)目10 個(gè);3)顯 示 預(yù) 置 電 臺(tái) 序 號(hào);4)特色與創(chuàng)新 例如:提高性能指標(biāo),全機(jī)用單一+3V電源供電,節(jié)電,顯示電臺(tái)頻率等)。三、評(píng)分意見(jiàn)項(xiàng)目得分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論分析與計(jì)算, 電路圖,測(cè)試方法與測(cè)試數(shù)據(jù),對(duì)測(cè)試結(jié)果的分析50基本要求實(shí)際制作完成情況50完成第1 )項(xiàng)25完成第2 )項(xiàng)5完成第3 )項(xiàng)5發(fā)揮部分完成第4 )項(xiàng)15四、說(shuō)明1電調(diào)諧特性是指輸入信號(hào)與變?nèi)荻O管控制電壓之間的關(guān)系曲線。2. 所提供 的元器件清單 其它元器件 自 備)1 )調(diào)幅收音機(jī)單片機(jī)集成電路 帶有小

21、功率放大器),型號(hào):CX1600P/M ;2) 調(diào)幅收音機(jī)輸入回路線圈和磁性天線;3) 變 容 二 極 管, 型 號(hào): SVC341 ;4)本振線圈;5 )用于電調(diào)諧的鎖相頻率合成器集成電路,型號(hào):LC7218可選件); 6) 7.2MHz晶體 可選件)。3在設(shè)計(jì)報(bào)告前附一篇 400字以內(nèi)的報(bào)告摘要。*此題是全國(guó)專家組與 SONY公司專家合作的命題,SONY公司提供了專用IC芯片和英文資料等。第四屆1999年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目A題測(cè)量放大器一、 題目:測(cè)量放大器二、 任務(wù)vr-流壓器大 直電抜yVB=-15-O2發(fā)揮部分)提高差模電 在滿足基本要求12)4)對(duì)中對(duì)輸出端噪聲電壓和共模

22、抑制比要求的條件下,將通頻帶展寬為 上 路預(yù)置范圍和 噪提AVD抑高 電 可預(yù)置并顯示, 制 比1000 ,同時(shí)減小輸出端噪的 共 模11000,步距為1,聲 電 壓電壓0 100Hz抑同時(shí)應(yīng)滿足基本要求(1的 要 求。圖i測(cè)壘敢大器框圖門設(shè)計(jì)并制作一個(gè)測(cè)量放大器及所用的直流穩(wěn)壓電源。參見(jiàn)圖1。輸入信號(hào) VI取自橋式測(cè)量電路的輸出。當(dāng)R1= R2= R3 = R4時(shí),VI = 0。R2改變時(shí),產(chǎn)生 VI 10的電壓信號(hào)。測(cè)量電路與放大器之間有1M長(zhǎng)的連接線。三、要求1.基本要求(1測(cè)量放大器a、差模電壓放大倍數(shù)AVD=1500 , 可手動(dòng)調(diào)節(jié);b 、最大輸出電壓為土10V,非線性誤差105;d

23、、在 AVD = 500 時(shí),輸出端噪聲電壓的峰一峰值小于 1V;e、通頻帶0 10Hz;f、 直流電壓放大器的差模輸入電阻2MW電源設(shè)計(jì)并制作上述放大器所用的直流穩(wěn)壓電源。由單相220V交流電壓供電。交流電壓變化范圍為+10%15%。(3設(shè)計(jì)并制作一個(gè)信號(hào)變換放大器,參見(jiàn)圖2。將函數(shù)發(fā)生器單端輸出的正弦電壓信號(hào)不失真地轉(zhuǎn)換為雙端輸出信號(hào),用作測(cè)量直流電壓放大器頻率特性的輸入信號(hào)。卄Vi函數(shù)” 發(fā)生器;5)其它 例如改善放大器性能的其它措施等)。四、評(píng)分意見(jiàn)項(xiàng)目滿分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論分析與計(jì)算, 電路圖,測(cè)試方法與數(shù)據(jù),對(duì)測(cè)試結(jié)果的分析50基本要求實(shí)際制作完成情況50兀成第項(xiàng)

24、5完成第二項(xiàng)10完成第三項(xiàng)5完成第四項(xiàng)20發(fā)揮部分特色與創(chuàng)新10五、說(shuō)明直流電壓放大器部分只允許采用通用型集成運(yùn)算放大器和必要的其它元器件組成,不能使用單片集成的測(cè)量放大器或其它定型的測(cè)量放大器產(chǎn)品。B題數(shù)字式工頻有效值多用表一、題目數(shù)字式工頻有效值多用表二、任務(wù)設(shè)計(jì)并制作一個(gè)能同時(shí)對(duì)一路工頻交流電頻率波動(dòng)范圍為50 1Hz、有失真的正弦波)的電壓有效值、電流有效值、有功功率、無(wú)功功率、功率因數(shù)進(jìn)行測(cè)量的數(shù)字式多用表。參見(jiàn)附圖。三、要求1.基本要求1)測(cè)量功能及量程范圍a、交流電壓:0500V;c、有功功率:025kW;d、無(wú)功功率:0 /25kvar;e 、功率因數(shù)有功功率/視在功率):0

25、1。為便于本試卷的設(shè)計(jì)與制作,設(shè)定待測(cè)0500V的交流電壓、050A的交流電流均已經(jīng)相應(yīng)的變換器轉(zhuǎn)換為0 /-5V的交流電壓。2)準(zhǔn)確度a 、顯 示為位0.000 4.999),有過(guò) 量程指示;b、 交流電壓和交流電流:0.8 %讀數(shù)+ 5個(gè)字),例:當(dāng)被測(cè)電壓為300V 時(shí), 讀數(shù)誤差應(yīng)小于0.8%x300V+0.5V) =2.9V;c、有功功率和無(wú)功功率:1.5 % 讀數(shù)+8個(gè)字);d、功率因數(shù):0.01 。3)功能選擇:用按鍵選擇交流電壓、交流電流、有功功率、無(wú)功功率和功率因數(shù)的測(cè)量與顯示。2.發(fā)揮部分1 ) 用按鍵選擇電壓基波及總諧波的有效值測(cè)量與顯示。 2)具有量程自動(dòng)轉(zhuǎn)換功能,當(dāng)變

26、換器輸出的電壓值小于0.5V時(shí),能自動(dòng)提高分辨力達(dá)0.01V。3)用按鍵控制實(shí)現(xiàn)交流電壓、交流電流、有功功率、無(wú)功功率在測(cè)試過(guò)程中的最大值、最小值測(cè) 量。4)其它 例如擴(kuò)展功能,提高性能)。四、評(píng)分意見(jiàn)項(xiàng)目滿分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論分析與計(jì)算,電路圖,測(cè)試方法與數(shù)據(jù),對(duì)測(cè)試結(jié)果的分析50基本要求實(shí)際制作完成情況50兀成第項(xiàng)14完成第二項(xiàng)14完成第三項(xiàng)10發(fā)揮部分完成第四項(xiàng)12五、說(shuō)明1調(diào)試時(shí)可用函數(shù)發(fā)生器輸出的正弦信號(hào)電壓作為一路交流電壓信號(hào);再經(jīng)移相輸出代表同一路的電流 信號(hào)。2檢查交流電壓、交流電流有效值測(cè)量功能時(shí),可采用函數(shù)發(fā)生器輸出的對(duì)稱方波信號(hào)。電壓基波、諧 波的測(cè)試可

27、用函數(shù)發(fā)生器輸出的對(duì)稱方波作為標(biāo)準(zhǔn)信號(hào),測(cè)試結(jié)果應(yīng)與理論值進(jìn)行比較分析。C題頻率特性測(cè)試儀一、題目頻率特性測(cè)試儀二、任務(wù)設(shè)計(jì)并制作一個(gè)頻率特性測(cè)試系統(tǒng),包含測(cè)試信號(hào)源、被測(cè)網(wǎng)絡(luò)、檢波及顯示三部分。三、要求1基本要求1)制作幅頻特性測(cè)試儀a、頻率范圍:100Hz/100kHz;b、頻率步進(jìn):10Hz;c、頻率穩(wěn)疋度10-4;d、測(cè)量精度5%;e、能在全頻范圍和特定頻率范圍內(nèi)自動(dòng)步進(jìn)測(cè)量,可手動(dòng)預(yù)置測(cè)量范圍及步進(jìn)頻率值;f 、 LED 顯示,頻率顯示 為5位,電 壓 顯示為3位,并能打印輸 出。2)制作-一-被測(cè)網(wǎng)絡(luò)a、電路型式:阻容雙T網(wǎng)絡(luò);b、中心頻率:5kHz;c、帶寬:芳0Hz;d 、計(jì)算

28、出網(wǎng)絡(luò)的幅頻和相 頻特性并繪制 相位曲線;e、用所制作的幅頻特性測(cè)試儀測(cè)試自制的被測(cè)網(wǎng)絡(luò)的幅頻特性。2 .發(fā)揮部分1)制作相頻特性測(cè)試儀a、頻率范圍:500Hz10kHzb、相位度數(shù) 顯示:相位值顯示為三位,另以一-位作符 號(hào)顯示c、測(cè)量精度:3。2 )用示波器顯示幅頻特性。3)在示波器上同時(shí) 顯示幅頻和相頻特性。、(3均用所制作的頻率特性測(cè)試儀測(cè)試自制的被測(cè)網(wǎng)絡(luò)的幅頻特性和相頻特性。D題短波調(diào)頻接收機(jī)一、題目短波調(diào)頻接收機(jī)二、任務(wù)設(shè)計(jì)并制作一個(gè)短波調(diào)頻接收機(jī),方框圖如下:?jiǎn)⒋?三、要求1基本要求1 )接收頻 率f0)范圍:8MHz10MHz;2 ) 接收 信號(hào)為20Hz 1000Hz音頻調(diào)頻

29、信號(hào),頻偏為 3kHz; 100mW(8W;4)接收靈敏度 5mV;5)通頻帶f0 kHz為3dB;6)選擇性:f0 0kHz為30dB; 20dB2發(fā)揮部分1)可實(shí)現(xiàn)多種自動(dòng)程控頻率搜索模式 如全頻率范圍搜索,特定頻率范圍內(nèi)搜索等),全頻率范圍搜索時(shí)間W2分鐘;2 )能顯示接收頻率范圍內(nèi)的調(diào)頻電臺(tái)載頻值,顯示載波頻率的誤差w 5kHz3)進(jìn) 一 步 提 高 靈 敏 度4) 可存儲(chǔ)已搜索到的電臺(tái),存臺(tái)數(shù)不少于 205)其它。四、評(píng)分意見(jiàn)項(xiàng)目滿分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論分析與計(jì)算,電路 圖,測(cè)試方法與數(shù)據(jù),對(duì)測(cè)試結(jié)果的分析50基本要求實(shí)際制作完成情況50兀成第項(xiàng)20完成第二項(xiàng)5完成第

30、三項(xiàng)10完成第四項(xiàng)5發(fā)揮部分特色與創(chuàng)新10E題數(shù)字化語(yǔ)音存儲(chǔ)與回放系統(tǒng)一、 題目數(shù)字化語(yǔ)音存儲(chǔ)與回放系統(tǒng)二、 任務(wù)設(shè)計(jì)并制作一個(gè)數(shù)字化語(yǔ)音存儲(chǔ)與回放系統(tǒng),其示意圖如下:三、要求1.基本要求1)放大器1的增益為46dB電壓放大倍數(shù)為),放大器 2的增益為40dB電壓放大倍數(shù)為100),增益均可調(diào)2)帶通濾波器:通帶 為300Hz3.4kHz3)ADC:采樣頻率fs=8kHz,字長(zhǎng) =8 位 10秒5)DAC:變換頻率 fc=8kHz,字長(zhǎng) =8 位6)回放語(yǔ)音質(zhì)量良好。2.發(fā)揮部分在保證語(yǔ)音質(zhì)量的刖提下1 )減少系統(tǒng)噪聲電平, 增加自動(dòng)音量控制功能2)語(yǔ)音存儲(chǔ)時(shí)間 增加至20秒以上3)提高存儲(chǔ)器

31、的利用率 在原有存儲(chǔ)容量不變的前提下,提高語(yǔ)音存儲(chǔ)時(shí)間)4)其它 例如:心鳥(niǎo)打校正等)。四、評(píng)分意見(jiàn)項(xiàng)目滿分設(shè)計(jì)與總結(jié)報(bào)告:方案設(shè)計(jì)與論證,理論分析與計(jì)算,電路 圖,測(cè)試方法與數(shù)據(jù),對(duì)測(cè)試結(jié)果的分析50基本要求實(shí)際制作完成情況50兀成第項(xiàng)15完成第二項(xiàng)5完成第三項(xiàng)15發(fā)揮部分完成第四項(xiàng)15五、說(shuō)明 不能使用單片語(yǔ)音專用芯片實(shí)現(xiàn)本系統(tǒng)。第五屆2001年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目A題波形發(fā)生器一、任務(wù)設(shè)計(jì)制作一個(gè)波形發(fā)生器,該波形發(fā)生器能產(chǎn)生正弦波、方波、三角波和由用戶編輯的特定形狀波形。示意圖如下:二、要求1.基本要求1 )具有產(chǎn)生正弦波、方波、三角波三種周期性波形的功能。2)用鍵盤輸入編輯生

32、成上述三種波形同周期)的線性組合波形,以及由基波及其諧波5次以下)線性組合的波形。3)具有波形存儲(chǔ)功能。4)輸出波形的頻率范圍為100Hz20kHz非正弦波頻率按 10次諧波計(jì)算);重復(fù)頻率可調(diào),頻率步進(jìn)間隔100Hz。5)輸出波形幅度范圍05V峰-峰值),可按步進(jìn) 0.1V峰-峰值)調(diào)整。6)具有顯示輸出波形的類型、重復(fù)頻率 周期)和幅度的功能。2發(fā)揮部分1)輸出波形頻率范圍擴(kuò)展至100Hz200kHz 。2)用鍵盤或其他輸入裝置產(chǎn)生任意波形。3)增加穩(wěn)幅輸出功能,當(dāng)負(fù)載變化時(shí),輸出電壓幅度變化不大于出負(fù)載電阻變化范圍:100QOO)4 )具有掉電存儲(chǔ)功能,可存儲(chǔ)掉電前用戶編輯的波。形和設(shè)置

33、。5)可產(chǎn)生單次或多次1000次以下)特定波形 如產(chǎn)生1個(gè)半周期三角波輸出) 6)其它 如增加頻譜分析、失真度分析、頻率擴(kuò)展200kHz、掃頻輸出等功能)。三、評(píng)分標(biāo)準(zhǔn)項(xiàng)目滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算,電路 圖及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及測(cè)試結(jié)果分析。50基本要求實(shí)際制作完成情況50完成第1 )項(xiàng)10完成第2 )項(xiàng)10完成第3 )項(xiàng)10完成第4 )項(xiàng)5完成第5 )項(xiàng)51-發(fā)揮部分完成第6 )項(xiàng)10B題簡(jiǎn)易數(shù)字存儲(chǔ)示波器一、任務(wù)設(shè)計(jì)并制作一臺(tái)用普通示波器顯示被測(cè)波形的簡(jiǎn)易數(shù)字存儲(chǔ)示波器,示意圖如下:單次觸發(fā)”鍵,儀器在滿足觸發(fā)條件時(shí),能對(duì)次采集與存儲(chǔ),然

34、后連續(xù)顯示二、要求1基本要求 1 )要求儀器具有單次觸發(fā)存儲(chǔ)顯示方式,即每按動(dòng)一次 被測(cè)周期信號(hào)或單次非周期信號(hào)進(jìn)行2)要求儀器的輸入阻抗大于100kQ,垂直分辨率為32級(jí)/div,水平分辨率為20點(diǎn)/div ;設(shè)示波器顯 示 屏 水 平 刻 度 為 10div , 垂 直 刻 度 為 8div 。3)要求設(shè)置 0.2s/div、0.2ms/div、20卩s/div三檔掃描速度,儀器的頻率范圍為DC50kHz,誤差 5%。4 ) 要求設(shè)置 0.1V/div 、 1V/div 二檔垂直靈敏度,誤差w 5%。5 )儀器的觸發(fā)電路采用內(nèi)觸發(fā)方式,要求上升沿觸發(fā)、觸發(fā)電平可調(diào)。6 )觀測(cè)波形無(wú)明顯失真

35、。2 發(fā)揮部分1 )增加連續(xù)觸發(fā)存儲(chǔ)顯示方式,在這種方式下,儀器能連續(xù)對(duì)信號(hào)進(jìn)行采集、存儲(chǔ)并實(shí)時(shí)顯示,且具 有鎖存 按“鎖存”鍵即可存儲(chǔ)當(dāng)前波形)功能2 ) 增加雙蹤示波功能,能同時(shí)顯示兩路被測(cè)信號(hào)波形。 3)增加水平移動(dòng)擴(kuò)展顯示功能,要求存儲(chǔ)深度增加一倍,并且能通過(guò)操作移動(dòng)”鍵顯示被存儲(chǔ)信號(hào)波形的任一部分4)垂直靈敏度增加 0.01V/div檔,以提高儀器的垂直靈敏度,并盡力減小輸入短路時(shí)的輸出噪聲電 壓5)其它。三、評(píng)分標(biāo)準(zhǔn)工程滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì) 算,電路圖及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及 測(cè)試結(jié)果分析。50基本要求實(shí)際制作完成情況50完成第1

36、)項(xiàng)15完成第2)項(xiàng)8完成第3)項(xiàng)5完成第4)項(xiàng)10發(fā)揮部分完成第5)項(xiàng)12四、說(shuō)明測(cè)試過(guò)程中,不能對(duì)普通示波器進(jìn)行操作和調(diào)整。以車輛中心點(diǎn)與終點(diǎn)線或 的測(cè)量值)8秒,但不允許在限速區(qū)內(nèi)C題自動(dòng)往返電動(dòng)小汽車一、任務(wù)設(shè)計(jì)并制作一個(gè)能自動(dòng)往返于起跑線與終點(diǎn)線間的小汽車。允許用玩具汽車改裝,但不能用人工遙控 包括有線和無(wú)線遙控)。Z B*1 C*口卍E*1弘 G* H*11Y1-2m*111-05mii圖1跑道頂視圖跑道寬度0.5m,表面貼有白紙,兩側(cè)有擋板,擋板與地面垂直,其高度不低于20cm。在跑道的B、C、D、E、F、G各點(diǎn)處畫(huà)有2cm寬的黑線,各段的長(zhǎng)度如圖1所示。二、要求1.基本要求1)

37、車輛從起跑線出發(fā) 出發(fā)前,車體不得超出起跑線),到達(dá)終點(diǎn)線后停留10秒,然后自動(dòng)返回起跑線 允許倒車返回)。往返一次的時(shí)間應(yīng)力求最短 從合上汽車電源開(kāi)關(guān)開(kāi)始計(jì)時(shí))2)到達(dá)終點(diǎn)線和返回起跑線時(shí),停車位置離起跑線和終點(diǎn)線偏差應(yīng)最小 起跑線 中心線 之 間距離作為偏差3) DE間為限速區(qū),車輛往返均要求以低速通過(guò),通過(guò)時(shí)間不得少于 停車。2發(fā)揮1 )自動(dòng)記錄、顯示一次往返時(shí)間 記錄顯示裝置要求安裝在車上)2 )自動(dòng)記錄、顯示行駛距離 記錄顯示裝置要求安裝在車上)3)其它特色與創(chuàng)新。 三、評(píng)分標(biāo)準(zhǔn)工程與指標(biāo)滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算,電路 圖及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器

38、,測(cè)試數(shù)據(jù)及測(cè)試結(jié)果分析。50基本要求實(shí)際制作完成情況50完成第1 )項(xiàng)15完成第2 )項(xiàng)25發(fā)揮部分完成第3 )項(xiàng)10四、說(shuō)明1) 不允許在跑道內(nèi)外區(qū)域另外設(shè)置任何標(biāo)志或檢測(cè)裝置。2 )車輛 含在車體上附加的任何裝置)外圍尺寸的限制:長(zhǎng)度w 35 cm ,寬度w 15cm= 3)必須在車身頂部明顯標(biāo)出車輛中心點(diǎn)位置,即橫向與縱向兩條中心線的交點(diǎn)。D題高效率音頻功率放大器一、任務(wù)設(shè)計(jì)并制作一個(gè)高效率音頻功率放大器及其參數(shù)的測(cè)量、顯示裝置。功率放大器的電源電壓為+5V電路其他部分的電源電壓不限),負(fù)載為8Q電阻。二、要求1.基本要求 1W 。c . 輸入阻抗10k,電壓放大倍數(shù)120連續(xù)可調(diào) 。

39、d. 低頻噪聲電壓20kHz以下) 10mv在電壓放大倍數(shù)為10 ,輸入端對(duì)地交流短路時(shí)測(cè)量。e. 在輸出功率500mW時(shí)測(cè)量的功率放大器效率 輸出功率/放大器總功耗)50%圖12)設(shè)計(jì)并制作一個(gè)放大倍數(shù)為1的信號(hào)變換電路,將功率放大器雙端輸出的信號(hào)轉(zhuǎn)換為單端輸出,經(jīng)RC濾波供外接測(cè)試儀表用,如圖1所示。圖1中,高效率功率放大器組成框圖可參見(jiàn)本題第四項(xiàng)說(shuō)明”。3 )設(shè)計(jì)并制作一個(gè)測(cè)量放大器輸出功率的裝置,要求具有3位數(shù)子顯示,精度優(yōu)于5%。2.發(fā)揮部分PWM*DATA被測(cè)電壓A/D采集與通信控制器采集與通信控制器垸生器噪聲摂擬發(fā)生器完成第5 )項(xiàng)101采用開(kāi)關(guān)方式實(shí)現(xiàn)低頻功率放大即D類放大)是

40、提高效率的主要途徑之一,D類放大原理框圖如圖2。本設(shè)計(jì)中如果采用 D類放大方式,不允許使用D類功率放大集成電路。2.效率計(jì)算中的放大器總功耗是指功率放大器部分的總電流乘以供電電壓+5V ),不包括 基本要求中第2 )、3 )項(xiàng)涉及的電路部分功耗。制作時(shí)要注意便于效率測(cè)試。3在整個(gè)測(cè)試過(guò)程中,要求輸出波形無(wú)明顯失真。E題數(shù)據(jù)采集與傳輸系統(tǒng)一、任務(wù)設(shè)計(jì)制作一個(gè)用于 8路模擬信號(hào)采集與單向傳輸系統(tǒng)。系統(tǒng)方框圖參見(jiàn)圖1。二、要求1.基本要求1)被測(cè)電壓為8路05V分別可調(diào)的直流電壓。系統(tǒng)具有在發(fā)送端設(shè)定8路順序循環(huán)采集與指定某一路采集的功能。2)采 用8 位A/D變 換 器。3)采用3dB帶寬為30k

41、Hz50kHz的帶通濾波器 帶外衰減優(yōu)于 35dB/十倍頻程)作為模擬信道。4)調(diào)制器輸出的信號(hào)峰-峰值vsp-p為01V可變,碼元速率 16k波特 碼元/秒);制作一個(gè)時(shí)鐘 頻率可變的測(cè)試碼發(fā)生器 如 0101碼等),用于測(cè)試傳輸速率。5 )在接收端具有顯示功能,要求顯示被測(cè)路數(shù)和被測(cè)電壓值。2.發(fā)揮部分1)設(shè)計(jì)制作一個(gè)用偽隨機(jī)碼形成的噪聲模擬發(fā)生器,偽隨機(jī)碼時(shí)鐘頻率為96kHz,周期為127位高速3 開(kāi)其電跖330kHs50kffc 複擬信道謔波器解 調(diào) 器采集結(jié)果顯采集結(jié)果顯示示-1 J碼元,生成多項(xiàng)式采用= r I。其輸出峰-峰值 :為01V連續(xù)可調(diào)。VV2)設(shè)計(jì)一個(gè)加法電路,將調(diào)制器

42、輸出號(hào)與噪聲電壓L :相加送入模擬信道。在解調(diào)器輸入端測(cè)量信號(hào)與噪聲峰-峰值之比i),當(dāng)其比值分別為1、3、5時(shí),進(jìn)行誤碼測(cè)試。測(cè)試方法:在8路順序循環(huán)采集模式下,監(jiān)視某一路的顯示,檢查接收數(shù)據(jù)的誤碼情況,監(jiān)視時(shí)間為1分鐘。3)在 ; “!:)=3時(shí),盡量提高傳輸速率,用上述第2)項(xiàng)的測(cè)試方法,檢查接收數(shù)據(jù)的誤碼情況。4)其它 如自制用來(lái)定量測(cè)量系統(tǒng)誤碼的簡(jiǎn)易誤碼率測(cè)試儀,其方框圖見(jiàn)圖2)。圖2三、評(píng)分標(biāo)準(zhǔn)工程滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算,電路 圖及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及測(cè)試結(jié)果分析。50基本要求實(shí)際制作完成情況50完成第1)項(xiàng)5完成第2)項(xiàng)20完

43、成第3)項(xiàng)15 1發(fā)揮部分完成第4)項(xiàng)10F題調(diào)頻收音機(jī)一、任務(wù)用SONY公司提供的FM/AM收音機(jī)集成芯片 CXA1019和鎖相頻率合成調(diào)諧集成芯片BU2614,制作臺(tái)調(diào)頻收音機(jī)。二、要求1.基本要求1)接收FM信號(hào)頻率范 圍88MHz 108MHz。2 )調(diào)制信號(hào)頻率范 圍100Hz15000Hz, 最大頻偏 75kHz。 100 mW負(fù)載阻抗 8Q )。4)接收機(jī)靈敏度 1mV。5) 鏡 像 抑 制 性 能 優(yōu) 于 20dB 。6)能夠正常收聽(tīng)FM廣播。2.發(fā)揮部分1 )可實(shí)現(xiàn)多種自動(dòng)程序頻率搜索 如全頻率范圍搜索、指定頻率范圍內(nèi)搜索等)。 2)能顯示接收頻率范圍內(nèi)的調(diào)頻電臺(tái)載波頻率值,

44、顯示載波頻率的誤差w 5kHz。3)進(jìn) 一 步 提 高 靈 敏 度。4 ) 可存儲(chǔ)已搜索到的電臺(tái),存儲(chǔ)電臺(tái)數(shù)不少于 5 個(gè)。 5)其它 如3V單電源整機(jī)供電、節(jié)能供電、時(shí)鐘顯示等)。三、評(píng)分標(biāo)準(zhǔn)工程滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算, 電路圖及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及測(cè)試結(jié) 果分析。50基本要求實(shí)際制作完成情況50完成第1 )項(xiàng)20完成第2 )項(xiàng)5完成第3 )項(xiàng)10完成第4 )項(xiàng)5發(fā)揮部分完成第5 )項(xiàng)10四、說(shuō)明1本題提供一組SONY公司的集成芯片和元件,包括FM/AM收音機(jī)集成心片CXA1019鎖相頻率 合成調(diào)諧 集成心片BU2614RF輸入帶通濾波器

45、10.7MHz陶瓷帶通濾波器CF-210.7MHz陶瓷諧振器CF-3)可調(diào)電容器變?nèi)?二極管鎖相環(huán)所用的75kHz晶體。2 建議本振線圈與輸入回路線圈垂直安裝。第六屆2003年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目電壓控制LC振蕩器A題)一、任務(wù)設(shè)計(jì)并制作一個(gè)電壓控制 LC振蕩器。二、要求1、基本要求1)振蕩器輸出為正弦波,波形無(wú)明顯失真。2)輸出頻率范圍:15MHz35MHz。3 )輸出頻率穩(wěn)定度:優(yōu)于10-3。4)輸出電壓峰-峰值:Vp-p=1V 0.1V。5)實(shí)時(shí)測(cè)量并顯示振蕩器輸出電壓峰-峰值,精度優(yōu)于10%。6)可實(shí)現(xiàn)輸出頻率步進(jìn),步進(jìn)間隔為1MHz _100kHz。2、發(fā)揮部分1)進(jìn)一步擴(kuò)大

46、輸出頻率范圍。2)采用鎖相環(huán)進(jìn)一步提高輸出頻率穩(wěn)定度,輸出頻率步進(jìn)間隔為100kHz。3 )實(shí)時(shí)測(cè)量并顯示振蕩器的輸出頻率。4)制作一個(gè)功率放大器,放大LC振蕩器輸出的30MHz正弦信號(hào),限定使用E=12V的單直流電源為功率放大器供電,要求在50Q純電阻負(fù)載上的輸出功率 20mW,盡可能提高功率放大器的效率。5)功率放大器負(fù)載改為50 Q電阻與20pF電容串聯(lián),在此條件下 50 Q電阻上的輸出功率20mW,盡可能提高放大器效率。6)其它。三、評(píng)分標(biāo)準(zhǔn)工程滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算,電路圖 及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及測(cè)試結(jié)果分析。50基本要求實(shí)際制作

47、完成情況50完成第1 )項(xiàng)5完成第2 )項(xiàng)15完成第3 )項(xiàng)5完成第4 )項(xiàng)10 完成第的測(cè)量端,用于測(cè)試功率放大器的效率。寬帶放大器VB題)一、任務(wù)設(shè)計(jì)并制作一個(gè)寬帶放大器。二、要求1、 基本要求1)輸入阻抗1k Q;單端輸入,單端輸出;放大器負(fù)載電阻。2) 3dB通頻帶10kHz6MHz,在20kHz5MHz頻帶內(nèi)增益起伏w 1dB。3)最大增益40dB,增益調(diào)節(jié)范圍 10dB40dB增益值6級(jí)可調(diào),步進(jìn)間隔 6dB,增益預(yù)置值與實(shí)測(cè) 值誤差的絕對(duì)值w 2dB),需顯示預(yù)置增益值。4 )最大輸出電壓有效值 3V,數(shù)字顯示輸出正弦電壓有效值。5 )自制放大器所需的穩(wěn)壓電源。2、 發(fā)揮部分1

48、)最大輸出電壓有效值 6V。,增益調(diào)節(jié) 范圍10dB58dB 4.5V、VoH w 5.5V。c.相位差范圍為 0359 ,相位差步進(jìn)為1,相位差值可預(yù)置。低頻數(shù)字式相位測(cè)量?jī)x C題)、任務(wù) 設(shè)計(jì)并制作一個(gè)低頻相位測(cè)量系統(tǒng),包括相位測(cè)量?jī)x、數(shù)字式移相信號(hào)發(fā)生器和移相網(wǎng)絡(luò)三部分,示意圖如下:二、要求1基本要求1)設(shè)計(jì)并制作一個(gè)相位測(cè)量?jī)x 參見(jiàn)圖1)a.頻率范圍:20Hz20kHz。b.相位測(cè)量?jī)x的輸入阻抗 100k 。c.允許兩路輸入正弦信號(hào)峰 -峰值可分別在1V5V范圍內(nèi)變化。d.相位測(cè)量絕對(duì)誤差w 2。e.具有頻率測(cè)量及數(shù)字顯示功能。f .相位差數(shù)字顯示:相位讀數(shù)為0o359.9o,分辨力為

49、0.1 。2)參考圖2制作一個(gè)移相網(wǎng)絡(luò)a. 輸入信號(hào)頻率:100Hz、1kHz、10kHz。b.連續(xù)相移范圍:一 45+ 45。c. A /、B /輸出的正弦信號(hào)峰-峰值可分別在0.3V5V范圍內(nèi)變化。2.發(fā)揮部分1)設(shè)計(jì)并制作一個(gè)數(shù)字式移相信號(hào)發(fā)生器 圖3),用以產(chǎn)生相位測(cè)量?jī)x所需的輸入正弦信號(hào),要求:a.頻率范圍:20Hz20kHz,頻率步進(jìn)為20Hz,輸出頻率可預(yù)置。b. A、B輸出的正弦信號(hào)峰-峰值可分別在0.3V5V范圍內(nèi)變化。圖1相位測(cè)量故數(shù)字式務(wù)栢信號(hào)發(fā)生器d.數(shù)字顯示預(yù)置的頻率、相位差值。2)在保持相位測(cè)量?jī)x測(cè)量誤差和頻率范圍不變的條件下,擴(kuò)展相位測(cè)量?jī)x輸入正弦電壓峰-峰值至0

50、.3V5V范圍。3)用數(shù)字移相信號(hào)發(fā)生器校驗(yàn)相位測(cè)量?jī)x,自選幾個(gè)頻點(diǎn)、相位差值和不同幅度進(jìn)行校驗(yàn)。4)其它。三、評(píng)分標(biāo)準(zhǔn)工程滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算,電 路圖及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及測(cè)試結(jié)果分 析。50基本要求實(shí)際制作完成情況50完成第1 )項(xiàng)22完成第2 )項(xiàng)6完成第3 )項(xiàng)12發(fā)揮部分其它10四、說(shuō)明1移相網(wǎng)絡(luò)的器件和元件參數(shù)自行選擇,也可以自行設(shè)計(jì)不同于圖2的移相網(wǎng)絡(luò)。2、基本要求2)項(xiàng)中,當(dāng)輸入信號(hào)頻率不同時(shí),允許切換移相網(wǎng)絡(luò)中的元件。3、相位測(cè)量?jī)x和數(shù)字移相信號(hào)發(fā)生器互相獨(dú)立,不允許共用控制與顯示電路。簡(jiǎn)易邏輯分析儀VD題)一、任務(wù)設(shè)

51、計(jì)并制作一個(gè)8路數(shù)字信號(hào)發(fā)生器與簡(jiǎn)易邏輯分析儀,其結(jié)構(gòu)框圖如圖1所示:圖1系統(tǒng)結(jié)構(gòu)框圖二、要求二、要求1、基本要求1)制作數(shù)字信號(hào)發(fā)生器能產(chǎn)生8路可預(yù)置的循環(huán)移位邏輯信號(hào)序列,輸出信號(hào)為TTL電平,序列時(shí)鐘頻率為100Hz,并能夠重復(fù)輸出。邏輯信號(hào)序列示例如圖2所示。2)制作簡(jiǎn)易邏輯分析儀a. 具有采集8路邏輯信號(hào)的功能,并可設(shè)置單級(jí)觸發(fā)字。信號(hào)采集的觸發(fā)條件為各路被測(cè)信號(hào)電平與觸 發(fā)字所設(shè)定的邏輯狀態(tài)相同。在滿足觸發(fā)條件時(shí),能對(duì)被測(cè)信號(hào)進(jìn)行一次采集、存儲(chǔ)。b. 能利用模擬示波器清晰穩(wěn)定地顯示所采集到的8路信號(hào)波形,并顯示觸發(fā)點(diǎn)位置。c. 8位輸入電路的輸入阻抗大于50kQ,其邏輯信號(hào)門限電壓

52、可在0.254V范圍內(nèi)按16級(jí)變化,以適應(yīng)各種輸入信號(hào)的邏輯電平。d.每通道的存儲(chǔ)深度為 20bit。II II _Ii圖2重復(fù)輸出循環(huán)移位邏輯序列000001012.發(fā)揮部分1)能在示波器上顯示可移動(dòng)的時(shí)間標(biāo)志線,并采用LED或其它方式顯示時(shí)間標(biāo)志線所對(duì)應(yīng)時(shí)刻的8路輸入信號(hào)邏輯狀態(tài)。2)簡(jiǎn)易邏輯分析儀應(yīng)具備 3級(jí)邏輯狀態(tài)分析觸發(fā)功能,即當(dāng)連續(xù)依次捕捉到設(shè)定的3個(gè)觸發(fā)字時(shí),開(kāi)始對(duì)被測(cè)信號(hào)進(jìn)行一次采集、存儲(chǔ)與顯示,并顯示觸發(fā)點(diǎn)位置。3級(jí)觸發(fā)字可任意設(shè)定 例如:在8路信號(hào)中指定連續(xù)依次捕捉到兩路信號(hào)11、01、00作為三級(jí)觸發(fā)狀態(tài)字)。3)觸發(fā)位置可調(diào) 即可選擇顯示觸發(fā)前、后所保存的邏輯狀態(tài)字?jǐn)?shù))

53、。4)其它 如增加存儲(chǔ)深度后分頁(yè)顯示等)。三、評(píng)分標(biāo)準(zhǔn)工程滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算,電路圖 及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及測(cè)試結(jié)果分析50基本要求實(shí)際制作完成情況50完成第1 )項(xiàng)18完成第2 )項(xiàng)18 :完成第3 )項(xiàng)5發(fā)揮部分其它9四、說(shuō)明1、系統(tǒng)結(jié)構(gòu)框圖中的跳接線必須采取可靈活改變的接插方式。2、 數(shù)字信號(hào)的采集時(shí)鐘可采用來(lái)自數(shù)字信號(hào)發(fā)生器的時(shí)鐘脈沖clock。3、測(cè)試開(kāi)始后,參賽者不能對(duì)示波器進(jìn)行任何調(diào)整操作。4、 題中涉及的“字”均為多位邏輯狀態(tài)。如圖2中縱向第一個(gè)字為一個(gè) 8位邏輯狀態(tài)字00000101 ), 而發(fā)揮部分中的3級(jí)觸發(fā)字為2

54、位邏輯狀態(tài)。一、任務(wù)設(shè)計(jì)并制作一個(gè)簡(jiǎn)易智能電動(dòng)車,其行駛路線示意圖如下:I1w- 1 m -x - 1 in. -II12.5cm15cm12.5cm2.3ra彎道區(qū)5cm簡(jiǎn)易智能電動(dòng)車E題)二、要求1基本要求1)電動(dòng)車從起跑線出發(fā) 車體不得超過(guò)起跑線),沿引導(dǎo)線到達(dá)B點(diǎn)。在 直道區(qū)”鋪設(shè)的白紙下沿引導(dǎo)線埋有13塊寬度為15cm、長(zhǎng)度不等的薄鐵片。電動(dòng)車檢測(cè)到薄鐵片時(shí)需立即發(fā)出聲光指示信息,并實(shí) 時(shí)存儲(chǔ)、顯示在“直道區(qū)”檢測(cè)到的薄鐵片數(shù)目。2)電動(dòng)車到達(dá) B點(diǎn)以后進(jìn)入“彎道區(qū)”,沿圓弧引導(dǎo)線到達(dá)C點(diǎn) 也可脫離圓弧引導(dǎo)線到達(dá)C點(diǎn))。C點(diǎn)下埋有邊長(zhǎng)為15cm的正方形薄鐵片,要求電動(dòng)車到達(dá)C點(diǎn)檢測(cè)到

55、薄鐵片后在 C點(diǎn)處停車5秒,停車期間發(fā)出斷續(xù)的聲光信息。3 )電動(dòng)車在光源的引導(dǎo)下,通過(guò)障礙區(qū)進(jìn)入停車區(qū)并到達(dá)車庫(kù)。電動(dòng)車必須在兩個(gè)障礙物之間通過(guò)且 不得與其接觸。4)電動(dòng)車完成上述任務(wù)后應(yīng)立即停車,但全程行駛時(shí)間不能大于90秒,行駛時(shí)間達(dá)到 90秒時(shí)必須立即自動(dòng)停車。2、發(fā)揮部分1 )電動(dòng)車在直道區(qū)”行駛過(guò)程中,存儲(chǔ)并顯示每個(gè)薄鐵片中心線)至起跑線間的距離。2 )電動(dòng)車進(jìn)入停車區(qū)域后,能進(jìn)一步準(zhǔn)確駛?cè)胲噹?kù)中,要求電動(dòng)車的車身完全進(jìn)入車庫(kù)。3)停車后,能準(zhǔn)確顯示電動(dòng)車全程行駛時(shí)間。4)其它。三、評(píng)分標(biāo)準(zhǔn)工程滿分基本要求設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算,電 路圖及有關(guān)設(shè)計(jì)文件

56、,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及測(cè)試結(jié)果分5002m障礙區(qū)11直道區(qū)t礙 物2障礙物1車庫(kù) I空O Oc2c in-析實(shí)際完成情況50完成第1 )項(xiàng)15完成第2 )項(xiàng)17完成第3 )項(xiàng)8發(fā)揮部分其它10四、說(shuō)明1跑道上面鋪設(shè)白紙,薄鐵片置于紙下,鐵片厚度為0.51.0mm。2、 跑道邊線寬度 5cm,引導(dǎo)線寬度 2cm,可以涂墨或粘黑色膠帶。示意圖中的虛線和尺寸標(biāo)注線不要繪 制在白紙上。3、障礙物1、2可由包有白紙的磚組成,其長(zhǎng)、寬、高約為 50cm 12cm 6cm,兩個(gè)障礙物分別放置在 障礙區(qū)兩側(cè)的任意位置。4、 電動(dòng)車允許用玩具車改裝,但不能由人工遙控,其外圍尺寸含車體上附加裝置)的限制為:

57、長(zhǎng)度W 35cm,寬度w 15cm。5、 光源采用200W白熾燈,白熾燈泡底部距地面20cm,其位置如圖所示。6、要求在電動(dòng)車頂部明顯標(biāo)出電動(dòng)車的中心點(diǎn)位置,即橫向與縱向兩條中心線的交點(diǎn)。液體點(diǎn)滴速度監(jiān)控裝置 F題)、任務(wù) 設(shè)計(jì)并制作一個(gè)液體點(diǎn)滴速度監(jiān)測(cè)與控制裝置,示意圖如右圖所示。二、要求1、基本要求1)在滴斗處檢測(cè)點(diǎn)滴速度,并制作一個(gè)數(shù)顯裝置,能動(dòng)態(tài)顯示點(diǎn)滴速度 滴/分)。2)通過(guò)改變 h2控制點(diǎn)滴速度,如右圖所示;也可以通過(guò)控制 輸液軟管夾頭的松緊等其它方式來(lái)控制點(diǎn)滴速度。點(diǎn)滴速度可用 鍵盤設(shè)定并顯示,設(shè)定范圍為20150(滴/分 ,控制誤差范圍為設(shè)定值二10%二1滴。3 )調(diào)整時(shí)間w

58、3分鐘 從改變?cè)O(shè)定值起到點(diǎn)滴速度基本穩(wěn)定, 能人工讀出數(shù)據(jù)為止)。4)當(dāng)h1降到警戒值23cm )時(shí),能發(fā)出報(bào)警信號(hào)。2、發(fā)揮部分設(shè)計(jì)并制作一個(gè)由主站控制16個(gè)從站的有線監(jiān)控系統(tǒng)。16個(gè)從站中,只有一個(gè)從站是按基本要求制作的一套點(diǎn)滴速度監(jiān)控裝置,其它從站為模擬從站(僅要求制作一個(gè)模擬從站。1)主站功能:a.具有定點(diǎn)和巡回檢測(cè)兩種方式。b.可顯示從站傳輸過(guò)來(lái)的從站號(hào)和點(diǎn)滴速度。c.在巡回檢測(cè)時(shí),主站能任意設(shè)定要查詢的從站數(shù)量、從站號(hào)和各從站的點(diǎn)滴速度。d.收到從站發(fā)來(lái)的報(bào)警信號(hào)后,能聲光報(bào)警并顯示相應(yīng)的從站號(hào);可用手動(dòng)方式解除報(bào)警狀態(tài)。 2)從站功能:a.能輸出從站號(hào)、點(diǎn)滴速度和報(bào)警信號(hào);從站號(hào)

59、和點(diǎn)滴速度可以任意設(shè)定。b.接收主站設(shè)定的點(diǎn)滴速度信息并顯示。c.對(duì)異常情況進(jìn)行報(bào)警。3 )主站和從站間的通信方式不限,通信協(xié)議自定,但應(yīng)盡量減少信號(hào)傳輸線的數(shù)量。 4)其它。三、評(píng)分標(biāo)準(zhǔn)工程滿分設(shè)計(jì)與總結(jié)報(bào)告:方案比較、設(shè)計(jì)與論證,理論分析與計(jì)算,電路 圖及有關(guān)設(shè)計(jì)文件,測(cè)試方法與儀器,測(cè)試數(shù)據(jù)及測(cè)試結(jié)果分析。50基本要求實(shí)際制作完成情況P 50完成第1 )項(xiàng)22完成第2 )項(xiàng)P 13完成第3 )項(xiàng)5發(fā)揮部分其它P 10四、說(shuō)明1控制電機(jī)類型不限,其安裝位置及安裝方式自定。2、儲(chǔ)液瓶用醫(yī)用 250毫升注射液玻璃瓶 瓶中為無(wú)色透明液體)。3、受液瓶用1.25升的飲料瓶。4、 點(diǎn)滴器采用針柄顏色

60、為深藍(lán)色的醫(yī)用一次性輸液器滴管滴出20點(diǎn)蒸餾水相當(dāng)于1ml 0.1ml)。5、 賽區(qū)測(cè)試時(shí),僅提供醫(yī)用移動(dòng)式點(diǎn)滴支架,其高度約1.8m,也可自帶支架;測(cè)試所需其它設(shè)備自備。6、滴速夾在測(cè)試開(kāi)始后不允許調(diào)節(jié)。7、發(fā)揮部分第2)項(xiàng)從站功能中,c中的“異常情況”自行確定。第七屆2005年)全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽題目正弦信號(hào)發(fā)生器VA題)一、任務(wù)設(shè)計(jì)制作一個(gè)正弦信號(hào)發(fā)生器。二、要求1、基本要求1 )正弦波輸出頻率范圍:1kHz10MHz ;2)具有頻率設(shè)置功能,頻率步進(jìn):100Hz ;3)輸出信號(hào)頻率穩(wěn)定度:優(yōu)于10-4; 1V;5)失真度:用示波器觀察時(shí)無(wú)明顯失真。2、發(fā)揮部分在完成基本要求任務(wù)的基

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論