客廳燈遙控器的設(shè)計(jì)269787_第1頁
客廳燈遙控器的設(shè)計(jì)269787_第2頁
客廳燈遙控器的設(shè)計(jì)269787_第3頁
客廳燈遙控器的設(shè)計(jì)269787_第4頁
客廳燈遙控器的設(shè)計(jì)269787_第5頁
已閱讀5頁,還剩37頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、單片機(jī)原理及接口技術(shù) 課程設(shè)計(jì)(論文)題目: 客廳燈遙控器的設(shè)計(jì)課程設(shè)計(jì)(論文)任務(wù)及評語號 學(xué)超 李萬課程 (論文 題目課程設(shè)計(jì)(論文)任務(wù)電 00 作 路 40 機(jī) 3 、 電 片 路 。 制 單 電 作 控 在 個對 收電操 器 應(yīng) 一 現(xiàn)對 接收 控制 電 書 。由、技 泛,被遙 控接信息 組成射電 統(tǒng)的 設(shè)計(jì) 0V 求、 廣泛能被 遙控的信 件組發(fā)射 系統(tǒng) 印設(shè) 22 及 應(yīng) 收器 碼, 不同 統(tǒng) 遙 控 、 電壓 計(jì)任 市場作為 個數(shù)機(jī)根 確定系統(tǒng) ,完程序 ,撰 ,電燈 設(shè) 裝機(jī) 沖片 ,小 件善 式 m, 、 家片 脈單 能最 軟完 格 10 能 在單用, 求 功機(jī) 的、定 1

2、 功 具個采成 要 統(tǒng)片 應(yīng)試規(guī) 求 的 燈一,組 及 系單 相調(diào)校 要 成 能 控另制路 務(wù) 析計(jì) 寫機(jī)學(xué) 數(shù) 離 完 功 遙,控電 任 分設(shè) 編上按 參 距 題 現(xiàn) 廳器關(guān)制 計(jì)、 、。 術(shù) 控 課 實(shí) 客控開控 設(shè)12 345上 技 遙 遙 的燈 。 以 為 燈電 路 字進(jìn)度計(jì)劃) 天2)天 (3 案 方( 計(jì))試 設(shè)天)調(diào) ) 統(tǒng)3天及 天) 系 序 1 定(程 確擇能( ,選功書 料塊寫明) 資模編說天 閱及及計(jì)1 查計(jì)計(jì)設(shè)( ,設(shè)設(shè)印辯 務(wù)件件打答 任硬軟、及 置統(tǒng)統(tǒng)寫收 布系系撰驗(yàn) 12345指導(dǎo)教師評語成績?nèi)赵?字 師簽?zāi)?教 導(dǎo) 指辯答:績 時成 平總院(系):電氣工程學(xué)院教

3、研室: 自動化注:成績:平時 20% 論文質(zhì)量 60% 答辯20% 以百分制計(jì)算摘要紅外遙控技術(shù)已經(jīng)成為人類日常生活以及工業(yè)、航空航天等各領(lǐng)域不可或缺的一部分,給人們帶來了極大方便,研究紅外遙控技術(shù)具有較強(qiáng)的現(xiàn)實(shí)意義,但 是通常的紅外遙控技術(shù)的實(shí)現(xiàn)需要專用芯片來完成,而不能進(jìn)行芯片互換,因此 本設(shè)計(jì)采用簡單的單片機(jī)系統(tǒng)實(shí)現(xiàn)紅外遙控解碼并完成其相應(yīng)的控制功能。本課設(shè)設(shè)計(jì)目的為控制客廳燈的開關(guān), 對此,進(jìn)行了采用了紅外發(fā)射的方案, 并對紅外發(fā)射電路,接收電路以及繼電器控制電燈電路進(jìn)行了設(shè)計(jì),而且對設(shè)計(jì) 所需的程序進(jìn)行了設(shè)計(jì)并編程。關(guān)鍵詞: 紅外;單片機(jī);繼電器;發(fā)射電路;接收電路目錄第 1 章 緒

4、論 . 11.1 家用遙控技術(shù)的現(xiàn)狀 11.2 紅外遙控技術(shù)的研究和成果 1第 2 章 課程設(shè)計(jì)的方案 22.1 概述 22.2 方案選擇 22.3 系統(tǒng)組成總體結(jié)構(gòu) 5第 3 章 硬件設(shè)計(jì) . 63.1 單片機(jī)的介紹 63.2 單片機(jī)的最小系統(tǒng) 103.3 發(fā)射電路的設(shè)計(jì) 103.4 按鍵電路的設(shè)計(jì) 113.5 接收電路的設(shè)計(jì) 123.6 繼電器控制電路 133.7 總體電路圖 14第 4 章 軟件設(shè)計(jì) . 154.1 主程序的設(shè)計(jì) 154.2 外部中斷子程序 164.3 程序設(shè)計(jì) 16第 5 章 課程設(shè)計(jì)總結(jié) . 21參考文獻(xiàn) . 22第1章 緒論1.1 家用遙控技術(shù)的現(xiàn)狀目前市面上的遙控

5、器鋪天蓋地,對于家電設(shè)備的控制,首選的就是紅外遙控 器,然而技術(shù)和經(jīng)濟(jì)的發(fā)展使得家庭數(shù)字化趨勢越來越強(qiáng)烈,一對一遙控器(即 一個遙控器只能控制一種類型的家電設(shè)備)已經(jīng)不能滿足用戶要求。多功能紅外 遙控器就是在普通紅外遙控器的基礎(chǔ)上,應(yīng)市場需求而產(chǎn)生的,它能控制不同種 類的設(shè)備,并且操作方便,深受顧客的歡迎,這也決定了多功能遙控器具有廣闊 的應(yīng)用前景。1.2 紅外遙控技術(shù)的研究和成果常用的紅外遙控系統(tǒng)一般分發(fā)射和接收兩個部分。發(fā)射部分的主要元件為紅 外發(fā)光二極管。它實(shí)際上是一只特殊的發(fā)光二極管;由于其內(nèi)部材料不同于普通 發(fā)光二極管, 因而在其兩端施加一定電壓時, 它便發(fā)出的是紅外線而不是可見光。

6、 目前大量的使用的紅外發(fā)光二極管發(fā)出的紅外線波長為 940nm 左右,外形與普通 5 發(fā)光二極管相同,只是顏色不同。紅外發(fā)光二極管一般有黑色、深藍(lán)、透明 三種顏色。判斷紅外發(fā)光二極管好壞的辦法與判斷普通二極管一樣;用萬用表電 阻擋量一下紅外發(fā)光二極管的正、反向電阻即可 2 。紅外發(fā)光二極管的發(fā)光效率 要用專門的儀器才能精確測定,而業(yè)余條件下只能用拉鋸法來粗略判判定。接收部分的紅外接收管是一種光敏二極管。在實(shí)際應(yīng)用中要給紅外接收二極 管加反向偏壓,它才能正常工作,亦即紅外接收二極管在電路中應(yīng)用時是反向運(yùn) 用,這樣才能獲得較高的靈敏度。紅外發(fā)光二極管一般有圓形和方形兩種。由于紅外發(fā)光二極管的發(fā)射功

7、率一般都較?。?100mW 左右),所以紅外接收 二極管接收到的信號比較微弱,因此就要增加高增益放大電路。紅外遙控常用的 載波頻率為 38kHz 這是由發(fā)射端所使用的 455kHz 晶振來決定的。在發(fā)射端要對 晶振進(jìn)行整數(shù)分頻,分頻系數(shù)一般取 12,所以 455kHz 1237.9kHz38kHz。第 2章 課程設(shè)計(jì)的方案2.1 概述本次設(shè)計(jì)主要是綜合應(yīng)用所學(xué)知識,設(shè)計(jì)客廳遙控?zé)艟?,遙控系統(tǒng)中可以采 用一個單片機(jī)作為遙控器,另一個單片機(jī)作為接收器能被遙控操作。遙控器可以 實(shí)現(xiàn)對 3 路電燈的開關(guān)控制,采用脈沖個數(shù)編碼,遙控接收系統(tǒng)由單片機(jī)、紅外 接收電路、電燈控制電路組成,單片機(jī)根據(jù)不同的信息

8、碼對 3 路電燈進(jìn)行控制操 作2.2 方案選擇根據(jù)課設(shè)設(shè)計(jì)的要求,利用單片機(jī)設(shè)計(jì)一個遙控開關(guān)電路,可以擬定以下的 幾種方案。方案一:(簡易紅外遙控電路) 在不需要多路控制的應(yīng)用場合,可以使用由常規(guī)集成電路組成的單通道紅外遙控電路。這種遙控電路不需要使用較貴的專用編譯碼器,因此成本較低。產(chǎn)生震蕩紅外發(fā)射頻率圖 2.1 方案一紅外發(fā)射部分示意圖考慮到本方案電路是簡單的單通道遙控器,如圖 2.1 所示,可直接產(chǎn)生一個 控制功能的震蕩頻率,再通過紅外發(fā)光二極管發(fā)射出去。當(dāng)按下遙控按鈕時,單片機(jī)產(chǎn)生相應(yīng)的控制脈沖,由紅外發(fā)光二極管發(fā)射出去,如圖 2.2 所示圖 2.2 方案一紅外接收部分示意圖方案二:(

9、利用紅外遙控開關(guān)電路)用單片機(jī)制作一個紅外電器遙控器,可以控制客廳燈的電源開關(guān)圖 2.3 方案二發(fā)射部分示意圖當(dāng)按下遙控按鈕時,單片機(jī)產(chǎn)生相應(yīng)的控制脈沖,由紅外發(fā)光二極管發(fā)射出 去,如圖 2.3 所示。當(dāng)紅外接收器接收到控制脈沖后,經(jīng)單片機(jī)處理由顯示設(shè)備顯示出當(dāng)前受控 電器的序號 ,如圖 2.4 所示。 :圖 2.4 方案二接收部分示意圖方案三:(利用紅外遙控開關(guān)電路)用單片機(jī)制作一個紅外電器遙控器,可以用來控制客廳燈的電源開關(guān)圖 2.5 方案三發(fā)射部分示意圖按下按鍵時,單片機(jī)產(chǎn)生相應(yīng)的控制脈沖,由紅外發(fā)射二極管發(fā)射出去。如圖 2.6 所示,當(dāng)紅外接收器接收到控制脈沖后,經(jīng)單片機(jī)處理由顯示設(shè)備

10、顯示出當(dāng)前受控電器的序號。圖 2.6 方案三接收部分示意圖方案選擇綜上所述通過比較三套方案,方案一未采用單片機(jī)控制,功能過于單一,僅 能對一路電器進(jìn)行簡單的遙控; 方案二和方案三的紅外線發(fā)射 / 接收控制電路采用 單片機(jī)來實(shí)現(xiàn),電路簡單,實(shí)用性強(qiáng)。方案二控制功能過于單調(diào),僅能實(shí)現(xiàn)電器 開關(guān)的控制,實(shí)用價值不大;方案三不僅可用控制鍵實(shí)現(xiàn)對電器的控制,而且可 對一路電燈進(jìn)行亮度控制,方便實(shí)用。方案三符合課設(shè)要求且本設(shè)計(jì)用到的元器 件較少,電路相對簡單實(shí)用。所以本設(shè)計(jì)采用方案三作為設(shè)計(jì)藍(lán)本2.3 系統(tǒng)組成總體結(jié)構(gòu)提到總體方案的確定,就要符合本課題的要求:1、它是一個室內(nèi)用的燈光控制系統(tǒng)。2、要求人們

11、手持遙控器就可以對室內(nèi)的燈光進(jìn)行控制。3、要求能夠在室內(nèi)簡便的安裝,應(yīng)用。4、在設(shè)計(jì)本系統(tǒng)中,成本是必須考慮的條件。要求成本不能太高,使一般家庭都能夠承擔(dān)的起費(fèi)用。本系統(tǒng)為基于單片機(jī)的無線燈光控制系統(tǒng),人們通過手中的遙控器對室內(nèi)燈 光進(jìn)行控制。要實(shí)現(xiàn)無線控制功能,就要設(shè)計(jì)一系列的硬件電路。首先要對無線 模塊進(jìn)行設(shè)計(jì),其中這部分的模塊就要設(shè)計(jì)發(fā)送信號和對信號的接收,就要對這 部分的電路進(jìn)行設(shè)計(jì)。在對信號接收之后,要能使對系統(tǒng)燈光進(jìn)行控制,就要設(shè) 計(jì)一調(diào)光控制電路,從而對每一盞燈具進(jìn)行開啟關(guān)閉和調(diào)亮調(diào)暗的控制。要驅(qū)動 調(diào)光電路,就要通過單片機(jī)相應(yīng)的程序來控制調(diào)光電路。在發(fā)送電路中,其中也 要通過單

12、片機(jī)的相應(yīng)程序?qū)Π存I進(jìn)行掃描處理等。在系統(tǒng)設(shè)計(jì)中,要使單片機(jī)能夠正常實(shí)現(xiàn)其功能,就必須為其提供相應(yīng)的直 流電壓,而我們一般的家用電壓中都是 220V,所以我們就要設(shè)計(jì)一個電源電路, 為單片機(jī)提供正常的工作的電壓,具體如圖 2.7 所示。圖 2.7 總體方案框圖第3章 硬件設(shè)計(jì)要實(shí)現(xiàn)系統(tǒng)的發(fā)射和接收功能,電路是必不可少的,而要驅(qū)動電路,實(shí)現(xiàn)燈 光控制系統(tǒng)的整體功能,就必須通過單片機(jī)相應(yīng)的程序來完成。3.1 單片機(jī)的介紹1. MCS-51單片機(jī)的內(nèi)部組成MC-51 系列單片機(jī)包括 8031、8051、8751等型號,其代表型號是 8051。其內(nèi)部 組成方框圖如圖 3.1所示。圖 3.1 單片機(jī)內(nèi)部

13、組成框圖2. AT89C51的性能介紹在本系統(tǒng)中我選擇的是 51系列的 AT89C51,AT89C51是一種帶 4k 字節(jié)閃爍 可編程可擦除只讀存儲器 ( FPEROMFlash Programmable and Erasable Read Only Memory)的低電壓,高性能 CMOS8 位微處理器,俗稱單片機(jī)。該器件采用 ATMEL 高密度非易失存儲器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的 MCS-51 指令集和輸出管腳相 兼容。由于將多功能 8 位 CPU 和閃爍存儲器組合在單個芯片中, ATMEL 的 AT89C51 是一種高效微控制器, 為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價 廉的方案。

14、 AT89C51 是一個低功耗高性能單片機(jī), 40 個引腳, 32 個外部雙向輸 入/輸出( I/O)端口,同時內(nèi)含 2個外中斷口, 2個 16位可編程定時計(jì)數(shù)器 ,2個 全雙工串行通信口, AT89C51 可以按照常規(guī)方法進(jìn)行編程,也可以在線編程。其 將通用的微處理器和 Flash存儲器結(jié)合在一起, 特別是可反復(fù)擦寫的 Flash存儲器 可有效地降低開發(fā)成本。 與 MCS-51 兼容 4K字節(jié)可編程閃爍存儲器 可編程串行通道 片內(nèi) 振蕩器和時鐘電路全靜態(tài)工作: 0Hz-24Hz三級程序存儲器鎖定 128*8位內(nèi)部 RAM 32可編程 I/O線兩個 16位定時器 /計(jì)數(shù)器 5個中斷源。3. 時

15、鐘電路及時序MC-51 內(nèi)部有一個用于構(gòu)成振蕩器的高增益反相放大器,引腳 XTAL1 和 XTAL2 分別是此放大器的輸入端和輸出端。 MC-51 的時鐘可由內(nèi)部方式或外部方 式產(chǎn)生。內(nèi)部方式時鐘電路外接晶體以及電容 C1、C2構(gòu)成并聯(lián)諧振電路,接在放 大器產(chǎn)生自激電路, 一般晶振可在 212MHZ 之間任選。對外接電容值雖然沒有嚴(yán) 格的要求,但電容的大小多少會影響振蕩頻率的高低、振蕩器穩(wěn)定性、起振的快 速性和溫度的穩(wěn)定性。外接晶體時, C1和C2通常選擇 30pF左右;外接陶瓷諧振器 時, C1和C2的典型值為 47pF。當(dāng)采用外部方式時鐘電路時,外部信號接至 XTAL2 (內(nèi)部時鐘電路輸入

16、端), 而XTAL1 接地。由于 XTAL2 端的邏輯電平不是 TTL 的,故建議外接上拉電阻。通 常對外部振蕩信號不特殊要求,但需要保證最小高電平及低電平脈寬,一般為頻 率低于 12M的方波。4. 復(fù)位電路 復(fù)位即回到初始狀態(tài),是單片機(jī)經(jīng)常進(jìn)入的工作狀態(tài)。在設(shè)計(jì)單片機(jī)應(yīng)用系 統(tǒng)時,必須了解單片記的復(fù)位狀態(tài)。單片機(jī)的復(fù)位是靠外部電路實(shí)現(xiàn)的, 在振蕩器正在運(yùn)行的情況下, RST引腳保 持二個周期以上時間的高電平, 系統(tǒng)復(fù)位。 在RST端出現(xiàn)高電平的第二個周期, 執(zhí) 行內(nèi)部復(fù)位,以上每個周期重復(fù)一次,直至 RST端變低。復(fù)位時, ALE 和/PSEN配置為輸入狀態(tài)。即 ALE=1 ,/PSEN=1

17、。內(nèi)部RAM 不受 復(fù)位的影響。上電復(fù)位電路:上點(diǎn)瞬間, RST端的電位與 Vcc相同,隨著電容的逐步充電, 充電電流減小, RST電位逐步下降。 上電復(fù)位所需的最短時間是振蕩器建立時間加 上二個機(jī)器周期, 在這段時間內(nèi), RST端口的電平應(yīng)維持高于斯密特觸發(fā)器的下閥 值。一般 Vcc 的上升時間不超過 1ms,振蕩器建立時間不超過 10ms。復(fù)位電路的典 型值為: C取10uf,R取8.2k,故時間常數(shù) t=RC=108.2 10=82ms,足以滿足要求。4.單片機(jī)的引腳功能如圖 3.2所示, MCS-51 單片機(jī)采用的是 40引角的雙列直插封裝( DIP)放 式。如圖 3.2。在 40 條

18、引角中,有 2 條專用于主電源的引角, 2 條外接晶體的引腳, 4條控制引腳, 3條I/O引角。下面分別敘述各引腳的功能圖 3.2 mcs-51 單片機(jī)的引腳圖主電源引腳 Vss 和 VccVss(20):接地; Vcc(40):正常操作時接 +5V 電源。 外接晶體引腳 XTAL1 和 XTAL2當(dāng)外接晶體振蕩器時 XTAL1 和 XTAL2 分別接在外接晶體兩端。 當(dāng)采用外部 時鐘方式事 XTAL1 接地, XTAL2 接外來振蕩信號。 控制引腳 RST/VPD、ALE/PROG 、/PSEN、/EA/VppRST/Vpp(9): 當(dāng)振蕩器正常運(yùn)行時,在此引腳上出現(xiàn)二個機(jī)器周期以上的高 電

19、平單片機(jī)復(fù)位。Vcc 掉電期間,此引腳可接備用電源,以保持 RAM 的數(shù)據(jù)。當(dāng) Vcc 下降到 低于規(guī)定的水平,而 VPD 在其規(guī)定的電壓范圍內(nèi), VPD 就向 RAM 提供備用電 源。ALE /PROG( 30):當(dāng)訪問外部存儲器時,由單片機(jī)的 P2 口送出地址的高 8 位,P0口送出地址的低 8位,數(shù)據(jù)也是通過 P0口傳送。作為 P0口某時送出的信 息到底是低 8位還是傳送的數(shù)據(jù),需要有一信號同步地進(jìn)行分別。 當(dāng) ALE 信號(允 許地址鎖存)為高電平(有效) ,P0口送出低 9位地址, ALE 信號鎖存低 8為地 址。即使不訪問外部存儲器, ALE 端仍以不變的頻率周期性地出現(xiàn)正脈沖信號

20、, 次頻率為振蕩器頻率的 1/6,因此可用作對輸出的時鐘。但需注意:當(dāng)訪問外部 數(shù)據(jù)存儲器(執(zhí)行 MOVX 指令)時,將跳過一個 ALE 脈沖。ALE 端可驅(qū)動 8 個 LS TTL 輸入。PSEN(29):程序存儲器讀出選通信號,低電平有效。MCS-51 單片機(jī)可以外接程序存儲器及數(shù)據(jù)存儲器,它們的地址可以是重合 的。 MCS-51 單片機(jī)是通過相應(yīng)的控制信號來區(qū)別到底 P2 口和 P0口送出的是程 序存儲器還是數(shù)據(jù)存儲器地址。從外部程序存儲器取指令(或常數(shù))期間,每個 機(jī)器周期兩次 /PSEN 有效,此時地址總線上送出地址為程序存儲器地址;如果訪 問外部數(shù)據(jù)存儲器時,這兩次有效的 PSEN

21、 信號將不會出現(xiàn)。外部數(shù)據(jù)存儲器是 靠/RD(讀)及/WR(寫)信號來控制的。 /PSEN同樣可以驅(qū)動 8個LSTTL 輸入。EA/Vpp(31): 當(dāng) EA 端保持高電平時, 訪問內(nèi)部程序存儲器 (4KB ),但當(dāng) PC (程序計(jì)數(shù)器)值超過 OFFFH 時,將自動轉(zhuǎn)向執(zhí)行外部程序存儲器內(nèi)的程序。 當(dāng) /EA 保持低電平時,則訪問外部程序存儲器(從 0000H 地址開始),不管單片 機(jī)內(nèi)部是否有程序存儲器。在 FLASH 編程期間,此引腳也用于施加 12V 編程電 源( Vpp)。 輸出輸入引腳P0.00.7(3932):P0口是一個漏極開路型準(zhǔn)雙向 I/O 口可以寫為 1 使其狀 態(tài)為懸浮

22、,用作高阻輸入。在訪問外部存儲器時,它是分時多路轉(zhuǎn)換的地址(低 8 位)和數(shù)據(jù)總線,在訪問期間激活了內(nèi)部的上拉電阻。 EPROM 編程時,它接收 指令字節(jié),而在驗(yàn)證程序時,則輸出指令字節(jié)。驗(yàn)證時,要求外接上拉電阻。P1.1 P1.7(1 8):P1口是帶內(nèi)部上拉電阻 8位雙向 I/O口。向 P1 口寫入1時, P1 口被內(nèi)部上拉為高電平, 可用作輸入口。 當(dāng)作為輸入腳時, 被外部拉低的 P1 口 會因?yàn)閮?nèi)部上拉而輸出電流。在 EPROM編程和程序驗(yàn)證時,它接收低 8位地址。P2.0 P2.7(2128): P2口是一個帶內(nèi)部上拉電阻的 8位雙向 I/O 口。在訪 問外部存儲器時,它送出高 8位

23、地址。在對 EPROM 編程和程序驗(yàn)證期間,它接 收高 8 位地址。P3.0 P3.7(1017): P3口是一個帶內(nèi)部上拉電阻的高 8位雙向 I/O 口。在 MCS-51 中,這 8 個引腳還兼帶有專用功能,這功能如下:表 3.1 引腳功能表口線替代的專用功能P3.0RXD (串行輸入口)P3.1TXD (串行輸出口)P3.2/INT0 (外部中斷 0)P3.3/INT1( 外部中斷 1)P3.4TO(定時器 0的外部輸入 )P3.5T1(定時器 1的外部輸入 )P3.6/WR( 外部數(shù)據(jù)存儲器寫選通 )P3.7/RD( 外部數(shù)據(jù)存儲器讀選通 )這些專用功能的口線,在與外部設(shè)備接口、外接數(shù)據(jù)

24、存儲器等反面具有非常 重要的作用。3.2 單片機(jī)的最小系統(tǒng)AT89S51 單片機(jī)最小系統(tǒng),就是使單片機(jī)正常運(yùn)行的最低配置:它有一系列 模塊組成,如圖 3.3 所示。1)復(fù)位系統(tǒng) : 當(dāng)引腳 9 出現(xiàn) 2 個機(jī)器周期以上高電平時,單片機(jī)復(fù)位,程 序從頭開始運(yùn)行。2)時鐘系統(tǒng):有振蕩器電路產(chǎn)生頻率等于晶振頻率,這時用的是外界晶振。也可以又外部單獨(dú)輸入,此時 XTAL2 腳接地,時鐘信號由 XTAL1 輸入3)電源系統(tǒng): VCC, 和 GND 引腳,供電電壓 4-5.5V圖 3.3 單片機(jī)的最小系統(tǒng)3.3 發(fā)射電路的設(shè)計(jì)發(fā)射電路采用一個 12M 的晶體振蕩器, 產(chǎn)生相對應(yīng)受控開關(guān)的脈沖頻率, 通 過

25、紅外發(fā)射管發(fā)射出去。單片機(jī)第 9腳( RST)所接的是一個最簡單的 RC上電復(fù)位電路。 P3.5接個三級管發(fā)光二級管利用紅外光為介質(zhì), 將控制信以紅外光脈沖的形式發(fā)射出去, 由接收電路再進(jìn)行放大,解調(diào),信號還原。晶體三極管主要用于放大電路中起放大作用, 本設(shè)計(jì)采用的是一個 NPN 型的三級管 9013,為了得到更大的放大倍數(shù),采用了類似共射級接法。因?yàn)閺膒3.5口出來的為高電壓, 而三級管 9013不能承受此電壓, 所以采用了一個阻值較大的 電阻來起分壓作用,從而緩沖了加到三級管上的電壓。圖 3.4 為該系統(tǒng)遙控發(fā)射器電路原理圖,其中第 1 腳至第 5 腳接 5 個點(diǎn)觸式的開關(guān),用來遙控電器電

26、源開關(guān),第 9腳為單片機(jī)的復(fù)位腳,采用簡單的 RC 上 復(fù)位電路, 15 腳作為紅外線遙控碼的輸出口,用于輸出 40kHz 載波編碼, 18,19 腳接 12MHz 晶振。圖 3.4 發(fā)射部分電路設(shè)計(jì)3.4 按鍵電路的設(shè)計(jì)按鍵單元采用高電位無效低電位有效地輸入方式,使得輸入的電流不大,保護(hù)單片機(jī)芯片安全。當(dāng)按下鍵盤的不同按鍵時,產(chǎn)生與之相應(yīng)的特定的二進(jìn)制脈沖信號。將此二進(jìn)制脈沖信號先調(diào)制在 38 kHz 的載波上,經(jīng)過放大后,激發(fā)紅外 發(fā)光二極管 LED 轉(zhuǎn)變成以波長 940 nm的紅外線光傳播出去。按鍵部分電路圖如 圖 3.5 所示:圖 3.5 按鍵部分電路設(shè)計(jì)3.5 接收電路的設(shè)計(jì)圖 3.

27、6 是為該系統(tǒng)的遙控接收器電原理圖。第 1 至 8 接數(shù)碼管,用來顯示被 控電器開關(guān)的序號, 顯出數(shù)字 0至 5。第 35至 39口接作為電燈的電源控制輸出, 后接繼電器,此處是實(shí)現(xiàn)控制電器開關(guān)的主要。當(dāng)有輸出電流的時候,電流通過 繼電器,繼電器檢測到電流后開啟功能,對應(yīng)的電器電源接通。同時電流流過發(fā) 光二極管,二極管發(fā)光,我們就可以知道控制是否成功。第 10腳為 50Hz交流市 電相位基準(zhǔn)輸入,第 12腳為中斷輸入口,第 11腳用于接收紅外遙控碼輸入信號。圖 3.6 接收部分電路設(shè)計(jì)3.6 繼電器控制電路控制模塊通過單片機(jī)LO 口輸出的高低電平來確定繼電器的開關(guān)的開合,從而決定了繼電器控制端

28、的導(dǎo)通和斷開??刂颇K如圖 3.7 所示。圖 3.7 繼電器控制燈泡圖3.7 總體電路圖總體電路圖如圖 3.8 所示:圖 3.8 總體電路圖第 4章 軟件設(shè)計(jì)主程序主要是循環(huán)調(diào)用顯示子程序及紅外接收處理子程序,當(dāng)開關(guān)按下時, 轉(zhuǎn)入相應(yīng)功能子程序。 采用動態(tài)掃描的方式, 鍵盤掃描功能 設(shè)置子程序是主要完 成調(diào)整時鐘功能和強(qiáng)制開關(guān)燈功能。定時中斷子程序主要是完成時間計(jì)時功能。4.1 主程序的設(shè)計(jì)主程序流程如圖 4.1 所示。圖 4.1 主程序流程圖流程說明:在遙控器按下鍵碼的時候,發(fā)射的紅外線被一體化接收頭接收后 輸出 TTL 電平信號, 對單片機(jī)造成外部中斷, 進(jìn)而單片機(jī)對紅外接收頭傳來含有 編

29、碼信息的 TTL 電平信號進(jìn)行解碼, 然后程序中處理得到的鍵碼碼值再傳至控制 程序,如本設(shè)計(jì)中的繼電器控制程序,處理繼電器控制程序以實(shí)現(xiàn)本設(shè)計(jì)所要求 的開關(guān)控制功能,當(dāng)沒有按鍵按下,程序返回到主程序的最開始,重新進(jìn)行鍵碼 判斷。4.2 外部中斷子程序外部中斷 0 子程序用于處理接收來的紅外信號。當(dāng)進(jìn)入中斷時,表示接收到 遙控發(fā)來的紅外信號,子程序中只需判斷 P37 口,是否輸出高電平,轉(zhuǎn)而去執(zhí) 行相應(yīng)的功能函數(shù)。程序流程如圖 4.2 所示。圖 4.2 外部中斷 0 流程圖4.3 程序設(shè)計(jì)主程序模塊#include#include#includemacroandconst.h#includein

30、fr.h/紅外解碼程序頭文件聲明#includeControl.h/繼電器控制程序頭文件聲明void Inital()IE = 0x84;/允許總中斷中斷 ,使能 INT1 外部中斷 ;TCON = 0x04;Main() Inital();P2=0x00;/ 驅(qū)動晶體管是高電平,所以一開始將while(1) / I/O 的電平拉低 ; if(PassWord!=0)/PassWord在紅外解碼的頭文件當(dāng)中定義, 可/以直接在此引用。Relay();/繼電器控制程序 ;void Exter1() interrupt 2/外部中斷程序 ;IR_IN();/紅外解碼功能子程序調(diào)用 ;紅外解碼程序#

31、include/延時程序頭文件聲明#includemacroandconst.h#includeDelay.h#includeControl.h sbit IRIN=P33;uchar IRCOM7;uchar PassWord=0;/*函數(shù)名稱:紅外解碼程序/紅外解碼程序函數(shù)功能:對紅外接收頭輸出信號進(jìn)行解碼并編碼 */ void IR_IN()unsigned char j,k,N=0;EX1 = 0;/關(guān)斷外部中斷,一面再次接接收,delay(15);/ 造成程序混亂 ;if (IRIN=1) / 引導(dǎo)碼是以低電平開始,所以一開始得檢測 EX1 =1;/ 再次打開外部中斷,接收系統(tǒng)碼和數(shù)

32、據(jù)碼以及return;/ 數(shù)據(jù)反碼確認(rèn) IR 信號出現(xiàn)while (!IRIN)/電平信號。/等 IR 變?yōu)楦唠娖剑^ 9ms 的前導(dǎo)低delay(1);/等 IR 變?yōu)榈碗娖?,跳過 4.5ms 的前導(dǎo) /高電平信號。/收集三組數(shù)據(jù) while (IRIN) delay(1); for (j=0;j3;j+)for (k=0;k=30) /判斷是否超時EX1=1; return; /0.14ms 計(jì)數(shù)過長自動離開。/ 高電平計(jì)數(shù)完畢IRCOMj=IRCOMj 1; /數(shù)據(jù)最高位補(bǔ) “,不是接 if (N=8) / 收串口數(shù)據(jù),而是根據(jù)脈/ 沖的延時來判斷 1和0;COMj = IRCOMj

33、| 0x80;/數(shù)據(jù)最高位補(bǔ) 1N=0;if (IRCOM2!=IRCOM3)/判斷接受的數(shù)據(jù)與其反碼是/ 否相等,相等則重新接收 ;EX1=1;return;PassWord=IRCOM2; /將接收到的按鍵碼值賦值給變量 ; EX1 = 1; 繼電器控制程序 #include #includemacroandconst.h / 附錄 1 中包含文件信息 ; #includeInfR.h #includeDelay.h sbit Relay_1=P20; sbit Relay_2=P21; sbit Relay_3=P22; sbit Relay_4=P23;/sbit P2_0=P20;/

34、* 函數(shù)名稱:繼電器控制程序 函數(shù)功能:實(shí)現(xiàn)對繼電器的紅外控制*/void Relay()switch(PassWord)case0x0c:/遙控器 1 鍵的鍵碼 ;Relay_1=Relay_1; /實(shí)現(xiàn) I/O 口的高低電平 /轉(zhuǎn)換,控制制驅(qū)動晶體管的 /通斷,從而控制繼電器開合。 break;case0x18:/遙控器 2鍵的鍵碼 ;Relay_2=Relay_2;break;case0x5e:/遙控器 3 鍵的鍵碼 ;Relay_3=Relay_3;break;case0x08:/遙控器 4 鍵的鍵碼 ;Relay_4=Relay_4;break;PassWord=0;/每/ 次處理完

35、控制程序之后需要將其值清零所用相關(guān)延時程序#include#includemacroandconst.h/*函數(shù)名稱:延時函數(shù)函數(shù)功能:實(shí)現(xiàn) x*0.14MS 的延時*/void delay(uchar x) /x*0.14MS uchar i;while(x-)for (i = 0; i13; i+) 第 5章 課程設(shè)計(jì)總結(jié)本篇設(shè)計(jì)詳細(xì)介紹了基于單片機(jī)的紅外遙控開關(guān)的工作原理、基本結(jié)構(gòu);分 析了紅外遙控技術(shù)的原理,清晰的總結(jié)出紅外發(fā)射電路和接收電路的工作原理和 技術(shù)要求。其次,本設(shè)計(jì)在對現(xiàn)有紅外遙控技術(shù)的大量文獻(xiàn)調(diào)研的基礎(chǔ)上,提出了運(yùn)用 先進(jìn)的單片機(jī)系統(tǒng)制作多功能紅外遙控器,使其對電燈進(jìn)行遠(yuǎn)

36、程控制燈亮與否的 功能,使得本次設(shè)計(jì)的紅外遙控開關(guān)更加的有實(shí)用性。 本設(shè)計(jì)還仍有不足的地方, 比如可以進(jìn)行遙控,對客廳燈的亮度進(jìn)行調(diào)節(jié)不僅僅是對燈的開關(guān)進(jìn)行控制。通過這次設(shè)計(jì)不僅學(xué)會了如何去查找相關(guān)資料,更重要的是通過查找資料和 翻閱書籍學(xué)到了不少知識,擴(kuò)大了知識面,提高了知識水平。鞏固和提高了所學(xué) 習(xí)的單片機(jī)的理論知識。真正認(rèn)識到理論聯(lián)系實(shí)際的重要性,為以后的學(xué)習(xí)和工 作提供了很多有價值的經(jīng)驗(yàn)。通過這次設(shè)計(jì)不僅增強(qiáng)了自己的動腦能力和動手能 力,也提高了我思考問題、分析問題、解決問題的能力。這次設(shè)計(jì)使我認(rèn)真認(rèn)識到完整、嚴(yán)謹(jǐn)、科學(xué)分析問題、解決問題的思想是多 么的重要,只有擁有了科學(xué)的態(tài)度才能設(shè)

37、計(jì)出有用的產(chǎn)品。另外通過本次設(shè)計(jì), 是我認(rèn)識到自己理論知識的應(yīng)用能力有很大的欠缺,需要在以后的學(xué)習(xí)中進(jìn)一步參考文獻(xiàn)1 李群芳 ,黃建.單片機(jī)微型計(jì)算機(jī)與接口技術(shù) .北京:電子工業(yè)出版社 ,20102 董輝 . 現(xiàn)代遙控技術(shù)及其應(yīng)用 .北京:北京理工大學(xué)出版社 .20113 魏永昌.TTL 、高速 CMOS 手冊.北京:電子工業(yè)出版社 .20094 高峰 .模擬電子技術(shù) .北京:機(jī)械工業(yè)出版社, 20145 翟敏煥.電流模式信號處理的進(jìn)展.現(xiàn)代計(jì)算機(jī) . 2014,01(3) : 5-176 肖衛(wèi)初.C程序設(shè)計(jì)(第四版) M.現(xiàn)代電子技術(shù) .2010,16(5)42-577 程望斌,張帆 .新概

38、念 51 單片機(jī) C 語言程序教程 入門、提高、開發(fā)、拓展 全攻略 M,2014,10 (5):5-128 李宜興.單片機(jī)原理與應(yīng)用系統(tǒng) M.現(xiàn)代工業(yè)經(jīng)濟(jì)和信息化 ,2014,10(8): 42-479 韓衍鵬 .紅外遙控技術(shù)及其解碼方案 J.企業(yè)標(biāo)準(zhǔn)化, 2010,01(4):15-2210 劉蕾,李守奎 .基于單片機(jī)的紅外遙控開關(guān)控制器 J.科技之友, 2011,11(7):22-2511 王倩 . 紅外遙控技術(shù)及其解碼方案 J.電子技術(shù)與軟件工程 ,2013,6(7):26-2812 陳寒青.基于單片機(jī)的紅外遙控功能分析與實(shí)現(xiàn) .現(xiàn)代電子技術(shù), 2011,5(8):14-2213 郭天祥 . 新概念 51 單片機(jī) C 語言程序教程入門、提高、開發(fā)、拓展全攻略 M.2014,05 (5):20-3514 吳杰,朱向冰 ,郝文良.基于單片機(jī)控 制的開關(guān)電源模塊.電子設(shè)計(jì) 工 程,2013(9):39-4315 湯詩宇.單 片機(jī) 自

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論