QUARTUSII軟件概述_第1頁
QUARTUSII軟件概述_第2頁
QUARTUSII軟件概述_第3頁
QUARTUSII軟件概述_第4頁
QUARTUSII軟件概述_第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、精品文檔QUARTUS II軟件概述姓名:方思雨學(xué)號: 2011301030102班級:電技111一、軟件簡介Quartus II 是Altera 公司的綜合性 PLD/FPGAF發(fā)軟件,支持原理圖、VHDL VerilogHDL以及 AHDL (Altera Hardware Description Language )等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以 完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。Quartus II 可以在XR Linux以及Unix上使用,除了可以使用Tcl腳本完成設(shè)計(jì)流程外,提供了完善的用戶圖形界面設(shè)計(jì)方式。具有運(yùn)行速度快,界面統(tǒng)一, 功能集中,易

2、學(xué)易用等特點(diǎn)。Quartus II 支持Altera 的IP核,包含了 LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設(shè)計(jì)的復(fù)雜性、加快了設(shè)計(jì)速度。對第三方EDA1具的良好支持也使用戶可以在設(shè)計(jì)流程的各個(gè)階段使用熟悉的第三方EDA工具。止匕外,Quartus II通過和DSP Builder工具與Matlab/Simulink 相結(jié)合,可以方便地實(shí)現(xiàn)各種 DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)(SOPC 開發(fā),集系統(tǒng)級設(shè)計(jì)、嵌入式軟件開發(fā)、可編程邏輯設(shè)計(jì)于一體,是一種綜合性的開發(fā)平臺。Maxplus II作為Altera的上一代PLD設(shè)計(jì)軟件,由于

3、其出色的易用性而得到了廣泛的應(yīng)用。目前 Altera已經(jīng)停止了 對Maxplus II的更新支持,Quartus II與之相比不僅僅是支持器件類型的豐富和圖形界面的改變。Altera在Quartus II中包含了許多諸如 SignalTap II、Chip Editor 和RTLViewer的設(shè)計(jì)輔助工具, 集成了 SOPC 和HardCopy設(shè)計(jì)流程,并且繼承了 Maxplus II友好的圖形界面及簡便的使用方法。二、軟件的主要功能和應(yīng)用領(lǐng)域Quartus II提供了完全集成且與電路結(jié)構(gòu)無關(guān)的開發(fā)包環(huán)境,具有數(shù)字邏輯設(shè)計(jì)的全部特性,包括:可利用原理圖、結(jié)構(gòu)框圖、VerilogHDL、AHDL

4、和VHDL完成電路描述,并將其保存為設(shè)計(jì)實(shí)體文件;芯片(電路)平面布局連線編輯;LogicLock增量設(shè)計(jì)方法,用戶可建立并優(yōu)化系統(tǒng),然后添加對原始系統(tǒng)的性能影響較小或無影響的后續(xù)模塊;功能強(qiáng)大的邏輯綜合工具;完備的電路功能仿真與時(shí)序邏輯仿真工具;定時(shí)/時(shí)序分析與關(guān)鍵路徑延時(shí)分析;可使用SignalTap II邏輯分析工具進(jìn)行嵌入式的邏輯分析;支持軟件源文件的添加和創(chuàng)建,并將它們鏈接起來生成編程文件;使用組合編譯方式可一次完成整體設(shè)計(jì)流程; 自動定位編譯錯(cuò)誤;高效的期間編程與驗(yàn)證工具;可讀入標(biāo)準(zhǔn)的EDIF網(wǎng)表文件、VHD網(wǎng)表文件和Verilog 網(wǎng)表文件;能生成第三方EDA軟件使用的VHD網(wǎng)表

5、文件和Verilog網(wǎng)表文件。Altera的Quartus II可編程邏輯軟件屬于第四代PLD開發(fā)平臺。該平臺支持一個(gè)工作組環(huán)境下的設(shè)計(jì)要求,其中包括支持基于Internet 的協(xié) 作設(shè)計(jì)。Quartus 平臺與 Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity 等EDA供應(yīng)商的開發(fā)工具相兼容。改進(jìn)了軟件的LogicLock模塊設(shè)計(jì)功能,增添了 FastFit編譯選項(xiàng),推進(jìn)了網(wǎng)絡(luò)編輯性能,而且提升了調(diào)試能力。支持MAX7000/MAX3000 等乘積項(xiàng)器件。三、軟件的界面QuartusII是Altera公司的FPG破計(jì)軟件,與F

6、PGAt內(nèi)的硬件相關(guān)的設(shè)計(jì)都在這個(gè)軟件工具中完成。圖1是啟動界面。圖1圖2是QuartusII軟件的菜單。File Edit Vi Prcj e clL Assi grunt exits Pro cessing, Tcols *indnw Jelp口蘇 o金門至七二 I圖2圖3是File菜單的介紹。圖3圖4是Project 菜單的介紹,這個(gè)菜單中常用的只有Add/Remove file in project3歡迎下載精品文檔技索文件所在骼住Capr Ft. iac t .11.Ji工1*1備/;IxpaJ-E E .,1 b HE 上工f;Fru i;l卻;FiiJ . f l u.工Ejrt

7、 J.I11 -Gi tw.a ti w.Qr*r-g Di Ritdnr Jj Xilp F ,t.Lt j an cZ-znit Er*rgt+Til raX * Fui- Th kJ cc*ia37:s匚七1*qLx口不t門,hhCtrltLA-TiaI VEa 匚 1_1才”止?! 1 Stirtkt* lAtfiuTj 口I*.tjdi工i 皿,;1*二 c-nfi lZb.1 a en Eajiqz- L匚tr 1蟲二、Con|lli.tL4i 日10|叱如鼠匚仃;,寓11Tlj*51.力 湎.小.th地 /“ii”StM t al i onC trl*ZS LPHllii K-

8、I-I, = UE irwl 1 ak Ba r wv t7歡迎下載LlrF watTI ay Frr* *j AjikI yj,r T&nV 5S.J AMOyrer I 1圖6是 Tools 菜單的介紹,這個(gè)菜單中主要啟動與 QuartusII 相關(guān)的工具 fiiTOlw ET41PAhu 修A 1h Ml vlj 4 T&TJ BlAj-al3 ill T aulr 111*1 fl匕4 Crip 141-4W)D/c . T于1 gfjiiell k-Hl xda啟動Quartgl I下俄界IBugh4J w 11 5fl,它 Ag;41s rJm-* Mft4nrg 叫srH EAi

9、 : rf-Lc4p c HlRtet Jxtir Ek Edi. *:.5fle f WL-I Et / n Ida ? vr 拿二T1j WR運(yùn)行皿擲本如:mQirtEEI也住 如用I鈾祚I訐Quartusl I軾卜設(shè)立Cml.U.E忖*單也工.圖7是對工程導(dǎo)航框的介紹,這個(gè)導(dǎo)航框告訴設(shè)計(jì)者,工程中的文件層次和使用的芯片型號。圖8是編譯窗口,從窗口可以得知編譯的進(jìn)度信息。布局布線過程法度生成配置文異近目甜度55圖9是圖形設(shè)計(jì)的主體的介紹,包括引腳連接等設(shè)計(jì)注意問題。是5。附Builde生成的系統(tǒng)的圖形符號.雙擊 打開SQPC Builth r,它外圍接是Ni口油CPU系 統(tǒng)的對外接口兩個(gè)信號寬度一致.這里都是7.,表示信號是gbitrt_pnrf_-iCTI與FPGA片外的管腳相連,細(xì) 線表示1暄信號,粗線表示 2耽以匕信號:編鋒緒榮后的管甥分配情況m/ 從上到

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論