版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、目 錄一、設(shè)計任務(wù)與要求 2二、總體框圖 2三、選擇器件 31.同步預置四位十進制計數(shù)器74LS160 32.數(shù)碼顯示器 43.二輸入與非門 54.二輸入與門 65.非門 66.六輸入與門 67. 555定時器 7四、功能模塊 91.秒脈沖發(fā)生器9 2.秒、分、時計數(shù)器 93.校時電路 114.報時電路 13五、總體設(shè)計電路圖 15六、心得與總結(jié) 17三分鐘可編程倒計時報警器一、 設(shè)計任務(wù)與要求設(shè)計任務(wù):三分鐘可編程倒計時報警器設(shè)計要求:1.具有可編程及報時的功能,并能夠隨時顯示計數(shù)結(jié)果。 2.設(shè)有外部操作開關(guān),控制計數(shù)器實現(xiàn)直接置數(shù)、啟動和清零等操作。 3.計數(shù)器可設(shè)置為03分鐘(即180秒
2、)內(nèi)任意時段的倒計時。 4.計數(shù)器遞減計數(shù)到零時,數(shù)碼顯示管不能滅燈,同時發(fā)出持續(xù)發(fā)光報警信號。二、 總體框圖倒計時預置數(shù)數(shù)碼管顯示報警預置數(shù)模塊:在開啟相應開關(guān)(setgw、setsw、setbw)后,通過來脈沖計數(shù)分別給個位十位百位預置數(shù),使得在啟動開關(guān)后從此數(shù)開始倒計時。倒計時模塊:在啟動開關(guān)(clk)后,從預置的數(shù)開始倒計時,倒計到0時,倒計時結(jié)束,此時數(shù)碼顯示管持續(xù)不滅燈。另外,可在任意時刻通過控制摁鈕實現(xiàn)清零。報警模塊:每倒計到整十秒時,發(fā)出一次報警。同時,當?shù)褂嫊r結(jié)束時會再次發(fā)出報警聲,以及報警燈亮起。此時,可通過開關(guān)(shutalert)關(guān)閉報警燈,報警聲,以停止報警。數(shù)碼管顯
3、示模塊:通過對輸入信息的判斷,選擇在哪個數(shù)碼管上顯示哪個圖形符號(即數(shù)字)。倒計時模塊 數(shù)碼管顯示模塊個位十位百位選擇時間38譯碼器數(shù)碼管 報警模塊 每10秒報時一次計時結(jié)束報警方案一:預置數(shù)部分,可以借助Quartus II提供的芯片(如:74LS192,74LS190,74LS160等)完成計數(shù)功能。倒計時部分,可以借助Quartus II提供的芯片(如:74LS192等)完成有借位的減法運算。但操作較為繁瑣,涉及到的元器件較多,涉及到的問題可能會有芯片是否有所損壞使得設(shè)計結(jié)果不夠理想。 方案二:完全用VHDL語言編寫程序下指令,實驗數(shù)據(jù)較為準確,設(shè)計結(jié)果較為理想,但思考量較大,思維過于復
4、雜。方案三:將完整的原理圖按照功能需求分成幾個不同模塊,將各個部分在繪制原理圖或者用VHDL語言編寫程序后進行編譯制成可供使用的模塊,再借助各個模塊繪制總的原理圖。這樣,總原理圖清晰明了,各個模塊的功能清晰,且設(shè)計結(jié)果較為理想。故選擇此方案。三、選擇器件EDA實驗箱所使用到的芯片為Altera公司的Cyclone系列FPGA芯片EP1C12Q240C8N。外圍接口電路為IO5與蜂鳴器接口相連,IO3與IO_CLK相連,IO9與一個紅色發(fā)光二極管相連。四、功能模塊模塊一:預置數(shù)模塊。當遇到上升沿后輸出將自動加1。若輸出是9再遇到上升沿時將自動變?yōu)?。符號如右圖。 相應程序如下:library i
5、eee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count is port( clk:in std_logic; co:out std_logic_vector(3 downto 0);end entity count;architecture fun of count is signal count:std_logic_vector(3 downto 0);begin co=count; process(clk) begin if(clkevent and clk=1)then if(count=1
6、001)then count=0000; else count=count+1; end if; end if; end process;end fun;其功能仿真圖像為: 根據(jù)圖像可知,在每次遇到上升沿后輸出將自動加1。若輸出是9再遇到上升沿時將自動變?yōu)?。模塊二:倒計時模塊。此模塊由個位、十位、百位三部分組成。個位部分:時鐘信號與個位模塊相連,當時鐘信號給出上升沿時,個位將實現(xiàn)減1的功能,即每次遇到上升沿都將減1,同時借位輸出端為低電平,若個位為0時,在遇到上升沿后將自動變?yōu)?,同時借位輸出端為高電平。在個位、十位、百位均為0時,無論是否有時鐘信號都不再進行減法運算。當個位置數(shù)端撥向高電平
7、時,預置數(shù)中的數(shù)將給個位置數(shù)。另外,當摁下清零鍵時,個位將自動清零。其符號如右圖。相應程序為:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity gww is port( clk,reset,setgw:in std_logic; cogw:in std_logic_vector(3 downto 0); fsw,fgw,fbw:in std_logic_vector(3 downto 0); ensw:out std_logic; daout:out std_logic_vector(
8、3 downto 0); lout:out std_logic);end entity gww;architecture fun of gww is signal count:std_logic_vector(3 downto 0); begin daout=count; process(clk,reset,setgw,cogw,fgw,fsw,fbw) begin -ensw=k; if(reset=1)then count=0000; elsif(setgw=1)then count=cogw; elsif( fsw=0000and fbw=0000and fgw=0000)then co
9、unt=0000;lout=1; else if(clkevent and clk=1)then if(count=0000)then count=1001;ensw=1; else count=count-1;ensw=0; end if; end if; end if; end process;end fun;其功能仿真圖像如下。根據(jù)圖像可知,當將setgww鍵置為高電平時,可對個位進行異步置數(shù),使得輸出為預置數(shù)模塊中對個位輸出的數(shù)(cogw)。當?shù)褂嫊r到0(fgw,fsw,fbw=0)時停止計時,并發(fā)送信號lout(用于觸發(fā)報警)。其他情況時,當遇到上升沿時立即減1,借位輸出端為低電平,
10、如果輸出為0,且又遇到上升沿,則輸出為9,同時借位輸出端產(chǎn)生上升沿,觸發(fā)十位進行減法運算。十位部分:十位的上升沿由個位的借位輸出端提供。當遇到上升沿時,十位將實現(xiàn)減1的功能,即每次遇到上升沿都將減1,同時借位輸出端為低電平。若十位為0時,在遇到上升沿后將自動變?yōu)?,同時借位輸出端產(chǎn)生上升沿,觸發(fā)百位進行減法運算。當setsw鍵撥向高電平時,將實現(xiàn)對十位的置數(shù)。當摁下reset鍵時,實現(xiàn)對十位的清零。其符號如左圖所示。以下為該模塊相應程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY
11、 sww ISPORT(CLK,RESET,setsw:IN STD_LOGIC; cosw:in std_logic_vector(3 downto 0); enbw:OUT STD_LOGIC; DAOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END ENTITY sww;ARCHITECTURE fun OF sww ISSIGNAL COUNT:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINDAOUT=COUNT;PROCESS(CLK,RESET,cosw,setsw) BEGINIF(RESET=0)THENCOUNT=0000;
12、ELSIF(setsw=1)THENcount=cosw;ELSIF(CLKEVENT AND CLK=1)THENIF(COUNT=0000)THEN count=1001;enbw=1;else count=count-1;enbw=0;end if;end if;end process;end fun;其相應功能仿真圖如下:根據(jù)圖像可知,當setsw鍵撥向高電平時,實現(xiàn)對十位的異步置數(shù)。當reset鍵為低電平時,實現(xiàn)對十位的異步清零。其他情況時,當遇到上升沿時立即減1,借位輸出端為低電平,如果輸出為0,且又遇到上升沿,則輸出為9,同時借位輸出端為高電平。百位部分:百位的上升沿由十位的借位
13、輸出端提供。當遇到上升沿時,十位將實現(xiàn)減1的功能,即每次遇到上升沿都將減1,如果輸出為0,且又遇到上升沿,則輸出為1。當setbw鍵撥向高電平時,實現(xiàn)對百位的置數(shù)。當reset鍵撥向低電平時時,實現(xiàn)對百位的清零。其符號如右圖所示。相應模塊的程序如下:lIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY bww ISPORT(CLK,RESET,setbw:IN STD_LOGIC; cobw:in std_logic_vector(3 downto 0); DAOUT:OUT STD_LO
14、GIC_VECTOR(3 DOWNTO 0);END ENTITY bww;ARCHITECTURE FUN OF bww ISSIGNAL COUNT:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINDAOUT=COUNT;PROCESS(CLK,RESET,setbw,cobw) BEGINIF(RESET=0)THENCOUNT=0000;elsif(setbw=1)then count=cobw;ELSe IF(CLKEVENT AND CLK=1)THEN if (count=0000)then count=0001; else COUNT=0000; end i
15、f; end if; END IF; END PROCESS;END FUN;相應功能仿真圖如下: 根據(jù)圖像可知,當setbw鍵撥向高電平時,實現(xiàn)對百位的異步置數(shù)。當reset鍵為低電平時,實現(xiàn)對百位的異步清零。其他情況時,當遇到上升沿時立即減1,如果輸出為0,且又遇到上升沿,則輸出為1。模塊三:報警模塊。報警模塊由兩部分組成,報警一:每整十秒報警(蜂鳴器工作)一次;報警二:當?shù)褂嫊r到0時,即個位、十位、百位輸出均為0時,報警(蜂鳴器和紅色發(fā)光二極管均工作)一次,此時可通過控制開關(guān)關(guān)閉報警,同時維持個位、十位、百位輸出均為0的狀態(tài)。其符號分別為: 報警一相應程序: LIBRARY IEEE;U
16、SE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ALERT1 ISPORT(CLK:IN STD_LOGIC; DAINgw:IN STD_LOGIC_VECTOR(3 DOWNTO 0); SPEAK:OUT STD_LOGIC);END ALERT1;ARCHITECTURE FUN OF ALERT1 ISSIGNAL COUNT:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL COUNT1:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINSPEAKER:PROCESS(CLK)BEGINSPEAK=10)THENCOUNT1=00;ELSE COUNT1=COUNT1+1;END IF;ELSE COUNT1=00;END IF;END IF;END PROCESS SPEAKER;END FUN;其功能仿真圖像為:報警二相應程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ALERT2 ISPORT(lin,shut:IN STD_LOGIC; speaker,led:OUT STD_LOGIC);END AL
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 電網(wǎng)工程設(shè)備材料信息參考價(2024年第四季度)
- 高級餐飲食品安全管理員技能鑒定理論考試題庫500題(含答案)
- 2025年河南農(nóng)業(yè)職業(yè)學院高職單招職業(yè)技能測試近5年??及鎱⒖碱}庫含答案解析
- 2025年池州職業(yè)技術(shù)學院高職單招職業(yè)技能測試近5年??及鎱⒖碱}庫含答案解析
- 2025年江蘇農(nóng)牧科技職業(yè)學院高職單招職業(yè)技能測試近5年常考版參考題庫含答案解析
- 《醫(yī)療機構(gòu)管理培訓》課件
- 2025民用航空運輸行業(yè)未來發(fā)展與市場展望
- 10kV配電站房工程設(shè)計與施工流程優(yōu)化
- 壓路機租賃合同
- 場地租賃經(jīng)營合同
- 2025江蘇連云港市贛榆城市建設(shè)發(fā)展集團限公司招聘工作人員15人高頻重點提升(共500題)附帶答案詳解
- 江蘇省揚州市蔣王小學2023~2024年五年級上學期英語期末試卷(含答案無聽力原文無音頻)
- 數(shù)學-湖南省新高考教學教研聯(lián)盟(長郡二十校聯(lián)盟)2024-2025學年2025屆高三上學期第一次預熱演練試題和答案
- 決勝中層:中層管理者的九項修煉-記錄
- 2024年海南公務(wù)員考試申論試題(A卷)
- 中醫(yī)培訓課件:《經(jīng)穴推拿術(shù)》
- 中小學教師師德師風法律法規(guī)培訓
- 醫(yī)療器械質(zhì)量管理體系文件模板
- 在馬克思墓前的講話說課稿公開課一等獎市賽課獲獎?wù)n件
- 送養(yǎng)收養(yǎng)合同協(xié)議書
- 漢語拼音發(fā)音口型及配圖
評論
0/150
提交評論