數(shù)字頻率計(jì)數(shù)器設(shè)計(jì)(_第1頁
數(shù)字頻率計(jì)數(shù)器設(shè)計(jì)(_第2頁
數(shù)字頻率計(jì)數(shù)器設(shè)計(jì)(_第3頁
數(shù)字頻率計(jì)數(shù)器設(shè)計(jì)(_第4頁
數(shù)字頻率計(jì)數(shù)器設(shè)計(jì)(_第5頁
已閱讀5頁,還剩17頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 數(shù)字頻率計(jì)數(shù)器的設(shè)計(jì)1.實(shí)習(xí)目的電子線路實(shí)習(xí)時(shí)了配合模擬電子技術(shù)基礎(chǔ)課程的教學(xué)而開設(shè)的。采用EAD技術(shù)中的multisim軟件來對(duì)模擬電路進(jìn)行仿真運(yùn)行,讓學(xué)生完成EDA技術(shù)方面的初步訓(xùn)練,培養(yǎng)起掌握新技術(shù)的能力,以適應(yīng)當(dāng)今電子技術(shù)的飛速發(fā)展。以計(jì)算機(jī)仿真為基礎(chǔ)的電子設(shè)計(jì)自動(dòng)化即EAD技術(shù)已成為當(dāng)代電子電路及集成電路設(shè)計(jì)中不可缺少的重要手段。multisim是一個(gè)優(yōu)秀的電子技術(shù)訓(xùn)練工具,利用它可以更靈活的進(jìn)行電路實(shí)驗(yàn),并在實(shí)驗(yàn)室難以達(dá)到的實(shí)驗(yàn)條件下進(jìn)行模擬,從而提高學(xué)生設(shè)計(jì)和分析電路的能力。通過綜合性設(shè)計(jì)能使學(xué)生會(huì)解決較復(fù)雜實(shí)際問題的能力,為其后續(xù)課程的學(xué)習(xí)和以后 從事實(shí)際工作打下堅(jiān)實(shí)的基礎(chǔ)。

2、2. 實(shí)習(xí)內(nèi)容2.1 multisim簡(jiǎn)介 Multisim 11是IIT公司推出Multisim 11之后的Multisim最新版Multisim11提供了全面集成化的設(shè)計(jì)環(huán)境,完成從原理圖設(shè)計(jì)輸入、電路仿真分析到電路功能測(cè)試等工作。當(dāng)改變電路連接或改變?cè)?shù),對(duì)電路進(jìn)行仿真時(shí),可以清楚地觀察到各種變化對(duì)電路性能的影響。 EDA是在計(jì)算機(jī)輔助設(shè)計(jì)技術(shù)的基礎(chǔ)上發(fā)展起來的計(jì)算機(jī)軟件系統(tǒng)。與早期的CAD軟件相比,EDA軟件的自動(dòng)化程度更高,功能更完善,運(yùn)行速度更快,而且操作頁面友善,有良好的數(shù)據(jù)開放性和互換性。電子工作平臺(tái)Electronice Workbench 軟件是加拿大 Interact

3、ive Image Technologies 公司于八十年代末,九十年代初推出的電子電路仿真的虛擬電子工作臺(tái)軟件。它具有一些特點(diǎn):(1).采用直觀的圖形界面創(chuàng)造電路,在計(jì)算機(jī)屏幕上模擬真實(shí)實(shí)驗(yàn)室的工作臺(tái),繪制電路圖需要的元件,電路仿真需要的測(cè)試儀器均可直接從屏幕上獲取。(2).軟件儀器的控制面板外形和操作方式都與實(shí)物相似,可以實(shí)事顯示測(cè)量結(jié)果。(3).EWB軟件帶有豐富的電路元件庫,提供多種電路分析方法。(4).作為設(shè)計(jì)工具,它可以同其他電路分析.設(shè)計(jì)和制板軟件交換數(shù)據(jù)。(5).EWB還是一個(gè)優(yōu)秀的電子技術(shù)訓(xùn)練工具,利用它提供的虛擬儀器可以用比實(shí)驗(yàn)室中更靈活的方式進(jìn)行電路實(shí)驗(yàn),仿真電路的實(shí)際運(yùn)

4、行情況。(6).熟悉常用電子儀器測(cè)量方法,因此非常適合電子類課程的教學(xué)和實(shí)驗(yàn)。這里,我們向大家介紹EWB軟件的初步知識(shí),基本操作方法,電路圖的繪制,虛擬儀器的使用及基本分析方法。2.2 數(shù)字頻率計(jì)數(shù)器測(cè)頻的基本原理數(shù)字頻率計(jì)是用十進(jìn)制來顯示被測(cè)信號(hào)頻率的一種測(cè)量裝置。所謂“頻率”,就是周期性信號(hào)在單位時(shí)間內(nèi)變化的次數(shù)。若在一定時(shí)間間隔T內(nèi)測(cè)得這個(gè)周期性信號(hào)的重復(fù)變化次數(shù)N,則頻率可以表示為:因此,數(shù)字頻率計(jì)的原理框圖如下圖所示:圖1、數(shù)字頻率計(jì)的原理框圖首先脈沖形成電路進(jìn)行波形變換將被測(cè)信號(hào)變成頻率等于fx的脈沖信號(hào)時(shí)基信號(hào)發(fā)生器提供標(biāo)準(zhǔn)的時(shí)間脈沖信號(hào),若其周期為1S,則門控電路的輸出信號(hào)持續(xù)

5、時(shí)間亦準(zhǔn)確的等于1S。閘門電路有標(biāo)準(zhǔn)秒信號(hào)控制,當(dāng)秒信號(hào)到來時(shí),閘門開通,被測(cè)脈沖信號(hào)通過閘門送到計(jì)數(shù)譯碼顯示電路。秒信號(hào)結(jié)束時(shí)閘門關(guān)閉,計(jì)數(shù)器停止計(jì)數(shù)。由于計(jì)數(shù)器記得的脈沖數(shù)N是在1秒時(shí)間內(nèi)的累計(jì)數(shù),所以被測(cè)頻率fx=NHz。邏輯控制單元的作用有兩個(gè):其一,產(chǎn)生清”0”脈沖,是計(jì)數(shù)器每次從零開始計(jì)數(shù);其二,產(chǎn)生鎖存信號(hào),使顯示器上的數(shù)字穩(wěn)定不變。最后,將鎖存器中的數(shù)據(jù)送入譯碼顯示器即可實(shí)現(xiàn)頻率測(cè)量。2.3數(shù)字頻率計(jì)數(shù)器的設(shè)計(jì)2.3.1 放大整形電路放大整形電路由晶體管1BH62與74LS00等組成,其中組成放大器將輸入頻率為的周期信號(hào)如正弦波、三角波等進(jìn)行放大。與非門74LS00構(gòu)成施密特觸

6、發(fā)器,它對(duì)放大器的輸出信號(hào)進(jìn)行整形,使之成為矩形脈沖。其電路如圖:圖1 放大整形電路其中由C5端輸入未知頻率的波,74LS00組成的施密特觸發(fā)器將從放大的信號(hào)進(jìn)行整形變換,得到需要的方波.2.3.2 分頻電路主要由74LS160組成(74LS160的管腳圖,功能表及波形圖詳見書上),因?yàn)檎袷幤鳟a(chǎn)生的是100KHz的脈沖,也就是其周期是0.00001s,而時(shí)基信號(hào)要求為0.001、0.01s、0.1s和1s。,由兩個(gè)相同的同步4級(jí)計(jì)數(shù)器構(gòu)成,計(jì)數(shù)器級(jí)為D型觸發(fā)器,具有內(nèi)部可交換CP和EN線,用于在時(shí)鐘上升沿或下降沿加計(jì)數(shù),在單個(gè)運(yùn)算中,EN輸入保持高電平,且在CP上升沿進(jìn)位,CR線為高電平時(shí)清零

7、。計(jì)數(shù)器在脈動(dòng)模式可級(jí)聯(lián),通過將Q連接至下一計(jì)數(shù)器的EN輸入端可實(shí)現(xiàn)級(jí)聯(lián),同時(shí)后者的CP輸入保持低電平。 如圖所示,電壓脈沖產(chǎn)生的1kHz的信號(hào)經(jīng)過三次分頻后得到3個(gè)頻率分別為100Hz、10Hz和1Hz的方波。開關(guān)J4控制基準(zhǔn)時(shí)間。圖2 分頻電路2.3.3 控制電路及門控電路控制電路與主控門電路如圖所示。主控電路由雙D觸發(fā)器74LS74D及與非門74ALS00N構(gòu)成。雙D觸發(fā)器的任務(wù)是輸出閘門控制信號(hào),以控制主控門(2)的開啟與關(guān)閉。如果通過開關(guān)S2 選擇一個(gè)時(shí)基信號(hào),當(dāng)給與非門(1)輸入一個(gè)時(shí)基信號(hào)的下降沿時(shí),門1就輸出一個(gè)上升沿,則雙D觸發(fā)器的 Q1 端就由低電平變?yōu)楦唠娖?,將主控門2開

8、啟。允許被測(cè)信號(hào)通過該主控門并送至計(jì)數(shù)器輸入端進(jìn)行計(jì)數(shù)。相隔1s(或0.1s,10ms,1ms)后,又給與非門1輸入一個(gè)時(shí)基信號(hào)的下降沿,與非門1輸出端又產(chǎn)生一個(gè)上升沿,使雙D觸發(fā)器的Q1 端變?yōu)榈碗娖?,將主控門關(guān)閉,使計(jì)數(shù)器停止計(jì)數(shù),同時(shí)端產(chǎn)生一個(gè)上升沿,使雙D觸發(fā)器翻轉(zhuǎn)成Q21,0,由于0,它立即封鎖與非門1不再讓時(shí)基信號(hào)進(jìn)入雙D觸發(fā)器,保證在顯示讀數(shù)的時(shí)間內(nèi) Q1 端始終保持低電平,使計(jì)數(shù)器停止計(jì)數(shù)。 圖3 控制電路及主控門電路 利用Q2端的上升沿送到下一級(jí)的延時(shí)、整形單元電路。當(dāng)?shù)竭_(dá)所調(diào)節(jié)的延時(shí)時(shí)間時(shí),延時(shí)電路輸出端立即輸出一個(gè)正脈沖,將計(jì)數(shù)器和所有D觸發(fā)器全部置0。復(fù)位后,Q10,1

9、,為下一次測(cè)量作好準(zhǔn)備。當(dāng)時(shí)基信號(hào)又產(chǎn)生下降沿時(shí),則上述過程重復(fù)。2.3.4微分、整形電路圖4如圖所示。Q2 端所產(chǎn)生的上升沿經(jīng)微分電路后,送到由與非門組成的斯密特整形電路的輸入端,在其輸出端可得到一個(gè)邊沿十分陡峭且具有一定脈沖寬度的負(fù)脈沖,然后再送至下一級(jí)延時(shí)電路。 2.3.5、 延時(shí)電路延時(shí)電路由D觸發(fā)器、積分電路(由電位器RW1和電容器C2 組成)、非門(3)以及單穩(wěn)態(tài)電路所組成,如圖所示。由于的D3端接VDD ,因此,在P2 點(diǎn)所產(chǎn)生的上升沿作用下,翻轉(zhuǎn),翻轉(zhuǎn)后0,由于開機(jī)置“0”時(shí)或門輸出的正脈沖將的 Q3 端置“0”,因此1,經(jīng)二極管2AP9迅速給電容C2充電,使C2 二端的電壓達(dá)

10、“1”電平,而此時(shí)0,電容器C2 經(jīng)電位器RW1 緩慢放電。當(dāng)電容器 C2 上的電壓放電降至非門(3)的閾值電平VT 時(shí),非門(3)的輸出端立即產(chǎn)生一個(gè)上升沿,觸發(fā)下一級(jí)單穩(wěn)態(tài)電路。此時(shí),P3 點(diǎn)輸出一個(gè)正脈沖,該脈沖寬度主要取決于時(shí)間常數(shù)Rt Ct 的值,延時(shí)時(shí)間為上一級(jí)電路的延時(shí)時(shí)間及這一級(jí)延時(shí)時(shí)間之和。由實(shí)驗(yàn)求得,如果電位器RW1用510的電阻代替,C2 取3f,則總的延遲時(shí)間也就是顯示器所顯示的時(shí)間為3s左右。如果電位器RW1用2M的電阻取代,C2 取22f,則顯示時(shí)間可達(dá)10s左右。可見,調(diào)節(jié)電位器RW1可以改變顯示時(shí)間。圖5延時(shí)電路 2.3.6、自動(dòng)清零電路P3 點(diǎn)產(chǎn)生的正脈沖送到

11、圖所示的或門組成的自動(dòng)清零電路,將各計(jì)數(shù)器及所有的觸發(fā)器置零。在復(fù)位脈沖的作用下,Q30,1,于是端的高電平經(jīng)二極管2AP9再次對(duì)電容C2電,補(bǔ)上剛才放掉的電荷,使C2兩端的電壓恢復(fù)為高電平,又因?yàn)殡pD觸發(fā)器復(fù)位后使 Q2 再次變?yōu)楦唠娖剑耘c非門1又被開啟,電路重復(fù)上述變化過程。開關(guān)J1打開是計(jì)數(shù)器清零,合上數(shù)字頻率計(jì)開始工作。圖6 自動(dòng)清零電路2.3.7 計(jì)數(shù)、鎖存、譯碼顯示電路這部分電路是頻率計(jì)內(nèi)作重要的電路部分,由計(jì)數(shù)器、鎖存器、譯碼器、顯示器和單穩(wěn)態(tài)觸發(fā)器組成。其中計(jì)數(shù)器按十進(jìn)制計(jì)數(shù),由4個(gè)異步十進(jìn)制計(jì)數(shù)器74ls90構(gòu)成,一次從個(gè)位開始計(jì)數(shù),向上位發(fā)出進(jìn)位信號(hào)進(jìn)而使高位開始計(jì)數(shù)。

12、計(jì)數(shù)輸出如果電路中不接鎖存器,則顯示器上的顯示數(shù)字就會(huì)隨計(jì)數(shù)器的狀態(tài)不停地變化,要使計(jì)數(shù)器停止計(jì)數(shù)時(shí),顯示器上的數(shù)字顯示能穩(wěn)定,就必須在計(jì)數(shù)器后接入鎖存器。圖7 計(jì)數(shù)、鎖存、譯碼電路鎖存器的工作是受單穩(wěn)態(tài)觸發(fā)器控制的。門控信號(hào)的下降沿使單穩(wěn)態(tài)觸發(fā)器1進(jìn)入暫穩(wěn)態(tài),單穩(wěn)1的上升沿作為鎖存器的時(shí)鐘脈沖。為了使計(jì)數(shù)器穩(wěn)定、準(zhǔn)確的計(jì)數(shù),在門控信號(hào)結(jié)束后,鎖存器將計(jì)數(shù)結(jié)果鎖存。單穩(wěn)1的暫態(tài)脈沖的下降沿使單穩(wěn)2進(jìn)入暫態(tài),利用2的暫態(tài)對(duì)計(jì)數(shù)器清零,清零后的計(jì)數(shù)器又等待下一個(gè)門控信號(hào)到來重新計(jì)數(shù)。鎖存器的作用是將計(jì)數(shù)器在1s結(jié)束時(shí)所得的數(shù)進(jìn)行鎖存,使顯示器穩(wěn)定地顯示此時(shí)計(jì)數(shù)器的值。1s計(jì)數(shù)時(shí)間結(jié)束時(shí),邏輯控制電

13、路發(fā)出鎖存信號(hào),將此時(shí)計(jì)數(shù)器的值送至數(shù)碼顯示器。選用鎖存器74LS273可以完成上述功能。當(dāng)時(shí)鐘脈沖CP的正跳變來到時(shí),鎖存器的輸出等于輸入,即Q=D,從而將計(jì)數(shù)器的輸出值送到鎖存器的輸出端。正脈沖結(jié)束后,無論D為何值,輸出端Q的狀態(tài)仍保持原來的狀態(tài)不變。所以在計(jì)數(shù)期間內(nèi),計(jì)數(shù)器的輸出不會(huì)送到譯碼顯示器。鎖存器74ls273在一個(gè)有效脈沖到來后將計(jì)數(shù)器輸出信號(hào)鎖存,并輸出到數(shù)碼管實(shí)現(xiàn)。2.4 頻率測(cè)量的技術(shù)指標(biāo)2.4.1 頻率準(zhǔn)確度 數(shù)字頻率計(jì)測(cè)量頻率時(shí)的測(cè)量誤差稱為頻率準(zhǔn)確度,常用相對(duì)誤差fx/fx來表示。分析表明:式中,1/(Tfx)=N/N稱為量化誤差,是數(shù)字頻率計(jì)所特有的誤差。fc/f

14、c=T/T 稱為閘門時(shí)間的相對(duì)誤差,主要由基準(zhǔn)信號(hào)發(fā)生器提供的標(biāo)準(zhǔn)頻率的準(zhǔn)確度所決定,fc/fc1/(Tfx). 2.4.2 頻率測(cè)量范圍 數(shù)字頻率計(jì)測(cè)量的最高頻率成為頻率測(cè)量范圍,主要由脈沖形成電路的頻率響應(yīng)決定。2.4.3 數(shù)字顯示位數(shù) 頻率計(jì)的數(shù)字顯示的位數(shù)決定了數(shù)字頻率計(jì)的分辨率。一般情況下,位數(shù)越多分辨率越高,當(dāng)然需要的計(jì)數(shù)譯碼器的位數(shù)也就越多。2.4.4 測(cè)量時(shí)間 數(shù)字頻率計(jì)完成一次測(cè)量所需的總時(shí)間稱為測(cè)量時(shí)間。若用t表示總測(cè)量時(shí)間,則 t=t1+t2+t3+t4式中,t1準(zhǔn)備時(shí)間,t2計(jì)數(shù)時(shí)間,t3鎖存時(shí)間,t4復(fù)位時(shí)間 2.5 我的電路分析計(jì)數(shù)器鎖存器譯碼器時(shí)鐘電路10進(jìn)制分頻

15、器顯示器整形正弦波矩形波自檢控制電路閘門1s0.001s圖8 數(shù)字頻率計(jì)原理框圖 數(shù)字頻率計(jì)是用數(shù)字顯示被測(cè)信號(hào)頻率的儀器,被測(cè)信號(hào)可以是正弦波,方波或其它周期性變化的信號(hào)。它一般由放大整形電路、時(shí)基電路、邏輯控制電路、閘門電路、計(jì)數(shù)器、鎖存器、譯碼器、顯示器等幾部分組成。其基本原理是用一個(gè)頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時(shí)鐘,對(duì)比測(cè)量其他信號(hào)的頻率。通常情況下計(jì)算每秒內(nèi)待測(cè)信號(hào)的脈沖個(gè)數(shù),此時(shí)我們稱閘門時(shí)間為1秒。閘門時(shí)間也可以大于或小于一秒。待測(cè)信號(hào)經(jīng)過放大整形電路之后,輸出一個(gè)與待測(cè)信號(hào)同頻率的矩形脈沖信號(hào),該信號(hào)在檢測(cè)閘門經(jīng)過選通信號(hào)的合成,產(chǎn)生計(jì)數(shù)信號(hào)??刂泼}沖經(jīng)過控制器中的門電路分別產(chǎn)

16、生鎖存信號(hào)和計(jì)數(shù)器清零信號(hào)。計(jì)數(shù)信號(hào)并與鎖存信號(hào)和清零復(fù)位信號(hào)共同控制計(jì)數(shù)、鎖存和清零三個(gè)狀態(tài),然后通過數(shù)碼顯示器件進(jìn)行顯示。2.5.1 電路模塊測(cè)試 (1) 基準(zhǔn)時(shí)間檢測(cè) 關(guān)閉電源后,插上全部。依次用示波器檢測(cè)基準(zhǔn)時(shí)間計(jì)數(shù)器輸出波形。如無輸出波形或波形形狀不對(duì),則應(yīng)對(duì) U1 ,U3,U2 各引腳的電平或信號(hào)波形進(jìn)行檢測(cè),消除故障。(2)輸入放大與整形電路檢測(cè) 用示波器觀測(cè)整形電路 的輸出波形,正常情況下,可以觀測(cè)到與輸入頻率一致、信號(hào)幅值為 5V 左右的矩形波。如觀測(cè)不到輸出波形,或觀測(cè)到的波形形狀與幅值不對(duì),則應(yīng)檢測(cè)這一部分電路,消除故障。如該部分電路正常,或消除故障后頻率計(jì)仍不能正常工作

17、,則檢測(cè)控制門。 (3) 控制門檢測(cè) 檢測(cè)控制門雙D觸發(fā)器 輸出信號(hào)波形,正常時(shí),每間隔 1S 時(shí)間,可以在熒屏上觀測(cè)到被測(cè)信號(hào)的矩形波。如觀測(cè)不到波形,則應(yīng)檢測(cè)控制門的兩個(gè)輸入端的信號(hào)是否正常 , 并通過進(jìn)一步的檢測(cè)找到故障電路,消除故障。如電路正常,或消除故障后頻率計(jì)仍不能正常工作,則檢測(cè)計(jì)數(shù)器電路。 (4) 計(jì)數(shù)器電路的檢測(cè) 依次檢測(cè) 4 個(gè)計(jì)數(shù)器74LS160 時(shí)鐘端的輸入波形,正常時(shí),相鄰計(jì)數(shù)器時(shí)鐘端的波形頻率依次相差 10 倍。如頻率關(guān)系不一致或波形不正常,則應(yīng)對(duì)計(jì)數(shù)器和反饋門的各引腳電平與波形進(jìn)行檢測(cè)。 (5) 顯示譯碼電路與數(shù)碼管顯示電路的檢測(cè) 檢測(cè)顯示譯碼器 74HC4511

18、 各控制端與電源端引腳的電平,同時(shí)檢測(cè)數(shù)碼管各段對(duì)應(yīng)引腳的電平及公共端的電平。通過檢測(cè)與分析找出故障。2.5.2仿真實(shí)驗(yàn)及結(jié)果當(dāng)輸入100HZ的方波,顯示器上顯示為097即實(shí)際讀數(shù)為0.097KHZ.當(dāng)輸入200HZ的正玄波,顯示器上顯示為197.即實(shí)際讀數(shù)為0.197KHZ.2.5.3 誤差分析 由于軟件的時(shí)間上的問題導(dǎo)致數(shù)據(jù)顯示可能不一致當(dāng)輸入100HZ的方波,誤差為(10097)/100約為3%當(dāng)輸入200HZ的正玄波,誤差為(200192)/200約為4%3. 我的心得通本次電子電路課程設(shè)計(jì)老師簡(jiǎn)單講解后給我們布置任務(wù)要求獨(dú)立完成課程設(shè)計(jì)任務(wù)。本著獨(dú)立自主的原則我在為期一周的的電子課程設(shè)計(jì)中學(xué)到的不單是簡(jiǎn)單的書本知識(shí)還有處事、學(xué)習(xí)的態(tài)度。 在知識(shí)方面我先是大概的學(xué)習(xí)了Multisim使用這是開始必備的基礎(chǔ)。整個(gè)課程設(shè)計(jì)讓我經(jīng)歷

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論