數(shù)字功放的設(shè)計(jì)_第1頁
數(shù)字功放的設(shè)計(jì)_第2頁
數(shù)字功放的設(shè)計(jì)_第3頁
數(shù)字功放的設(shè)計(jì)_第4頁
數(shù)字功放的設(shè)計(jì)_第5頁
已閱讀5頁,還剩21頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 本科生畢業(yè)論文(設(shè)計(jì))題 目: 數(shù)字功放的設(shè)計(jì) 姓 名: 江丹 學(xué) 院:專 業(yè):班 級:學(xué) 號:指導(dǎo)教師:2014 年5月 25 日 目錄引言21功放簡介與發(fā)展現(xiàn)狀31.1 功放的種類31.1.1 A類功率放大器31.1.2 B類功率放大器31.1.3 AB 類功率放大器31.1.4 D類功率放大器41.2數(shù)字功放的發(fā)展現(xiàn)狀42 數(shù)字功放的基本原理及電路組成52.1 數(shù)字功放的工作原理52.2 數(shù)字功放的電路組成63 各模塊電路設(shè)計(jì)73.1 前置放大電路73.2 三角波產(chǎn)生電路83.3 比較器電路93.4 驅(qū)動電路103.5 功放與低通濾波電路113.6 直流穩(wěn)壓電源134 功能仿真與數(shù)據(jù)分

2、析134.1各電路仿真結(jié)果134.1.1前置放大信號134.1.2 三角波信號134.1.3 PWM碼144.1.4 經(jīng)過功放管的PWM碼144.4.5還原出的音頻信號154.2 數(shù)據(jù)計(jì)算與分析154.2.1 電壓放大倍數(shù)154.2.2 效率154.2.3 通頻帶寬度165數(shù)字功放干擾抑制166 D類功放的發(fā)展與技術(shù)展望176.1 D類功放的不足176.2 D類功放的最新發(fā)展T類功率放大器17結(jié) 論17致 謝18參考文獻(xiàn)18附錄19 數(shù)字功放的設(shè)計(jì) 電子信息工程專業(yè)學(xué)生 摘要:在日常生活中,我們已經(jīng)感受到了電子技術(shù)給我們帶來的便捷。在我們使用的各類電子設(shè)備中,數(shù)字功放正發(fā)揮著其不可替代的作用。

3、所以設(shè)計(jì)出功能優(yōu)異的數(shù)字功放已經(jīng)是各大電子器件制造商的迫切任務(wù)。本文從數(shù)字功放的基本原理出發(fā),著重介紹了它的各個(gè)電路組成部分。利用Multisim軟件對所設(shè)計(jì)的電路進(jìn)行功能仿真,并且達(dá)到了預(yù)期的效果。在實(shí)際電路中,針對其產(chǎn)生的電磁干擾提出了一些抑制方法。最后數(shù)字功放的發(fā)展趨勢進(jìn)行了簡要描述。關(guān)鍵詞:PWM碼 門驅(qū)動電路 濾波電路 電磁干擾 引言 隨著科學(xué)技術(shù)的不斷發(fā)展,各種各樣的電子產(chǎn)品層出不窮,例如筆記本電腦、移動通信終端、音箱等。這些事物的出現(xiàn)極大的豐富了我的日常生活,給我們的工作帶來了很多便捷。然而,要使這些產(chǎn)品正常工作,數(shù)字功放是不可或缺的。 數(shù)字功放其功放管的工作在導(dǎo)通和截止?fàn)顟B(tài),如

4、果輸入信號使功放管處在導(dǎo)通狀態(tài),此時(shí)在理想狀態(tài)下晶體管的內(nèi)阻近似為零,所以管子兩端沒有壓降,自然就不會產(chǎn)生功率消耗;如果輸入信號使晶體管處在截止?fàn)顟B(tài),那么晶體管的內(nèi)阻就為無窮大,流經(jīng)管子的電流就為零,也沒有功率消耗。所以,晶體管在控制電路工作時(shí)是不會消耗功率的,這正是功放管能夠達(dá)到比較高的效率的原因之一。正是由于數(shù)字功放的優(yōu)越性能,所以它被廣泛應(yīng)用于電子設(shè)備中。因此,設(shè)計(jì)出符合要求的數(shù)字功放就顯得格外重要。 1功放簡介與發(fā)展現(xiàn)狀1.1 功放的種類1.1.1 A類功率放大器 A類功放又稱為甲類功放,如圖1.1(a),對于此放大器的功率輸出管,必須將其Q值設(shè)置在直流負(fù)載線的中點(diǎn)部分,因?yàn)檫@部分的線

5、性最佳。這樣輸人信號在正負(fù)兩個(gè)半周期內(nèi)都能夠使放大管在線性放大狀態(tài)下工作,這時(shí)其導(dǎo)通角為360°。隨之帶來的問題就是能量轉(zhuǎn)換效率很低,電路的最高效率也只有25%,并且需要兩種晶體管交替互補(bǔ)才能使整個(gè)周期都處在放大狀態(tài),也不可避免地產(chǎn)生交越失真。在沒有輸入信號時(shí),對于A類功放電路任然需要消耗能量,所以此時(shí)能量轉(zhuǎn)換效率為零。正是因?yàn)橐粋€(gè)A類放大器的能量轉(zhuǎn)換效率低,因此它主要用于電壓放大,在功率放大器電路中少用。1.1.2 B類功率放大器 B類功率放大器又叫乙類功放如圖1.1(b)。將其靜態(tài)工作點(diǎn)Q設(shè)置在電壓最大和電流為零的截止點(diǎn)上,這樣它的導(dǎo)通角就為180°。工作的方式為,當(dāng)輸

6、人信號時(shí),輸入信號的正半周處在管子的導(dǎo)通區(qū)從而被放大,而負(fù)半周就被截止了。也就是說,B類功放只能將的輸入信號的正半周期進(jìn)行功率放大,由于此電路的導(dǎo)通角只有一個(gè)輸入信號周期的一半,只有用兩只管子組成互補(bǔ)推挽級電路才能完成放大,只用一只管子是很難對音頻信號進(jìn)行放大的。在工作時(shí),其中一只管子將正半周信號進(jìn)行放大輸出,另一只管子則將負(fù)半周信號放大輸出,這樣組成一個(gè)完整的信號輸出。但是問題出現(xiàn)了,兩個(gè)半周信號在正負(fù)周期的臨界點(diǎn)處由于銜接得不是太好就易出現(xiàn)信號失真。由于這種失真發(fā)生在一個(gè)信號的零電平處,它被稱為過零失真。在放大音頻信號時(shí),播放器就會產(chǎn)生開關(guān)噪聲。靜止時(shí)工作電流為零,并且必須采用推挽工作方式

7、是B類放大器的重要特征。值得注意的是,它的效率可以達(dá)到70-80,這在功放電路中是很高的,B類功放的設(shè)計(jì)思路可以運(yùn)用于其它功放的改進(jìn)電路當(dāng)中。 1.1.3 AB 類功率放大器 此類功放在設(shè)計(jì)時(shí)將工作點(diǎn)Q設(shè)置在A類和B類之間并且相對靠近B類處,如圖1.1(c),這樣其導(dǎo)通角就為200°左右,像B類功放一樣,由于單管不能完整地對輸入信號進(jìn)行放大,所以也就必須采用互補(bǔ)推挽工作模式。正是由于互補(bǔ)的兩只管子它們的導(dǎo)通角均大于180°,從而把輸出信號合并在一起就會產(chǎn)生一個(gè)重疊區(qū)。兩只管子工作的切換點(diǎn)正好就處在這個(gè)重疊區(qū)中,所以就不擔(dān)心因銜接不好而產(chǎn)生的開關(guān)噪聲。導(dǎo)通角大于180

8、6;,采用互補(bǔ)推挽工作是該類功放的顯著特征。需要提及的是,在輸人信號很小,以至于小到在多余的20°內(nèi)放大都不失真時(shí),管子的工作狀態(tài)就與A類相同了。此時(shí)AB類功放就成為A類放大器了。所以AB類放大器非常適合對小信號進(jìn)行放大。它的工作條件是必須將兩只管子配對使用,來抑制過零失真。1.1.4 D類功率放大器 D類功率放大器是基于離散時(shí)間放大器設(shè)計(jì)思想的,人們對它研究近一個(gè)半世紀(jì)的時(shí)間,直到1970個(gè)金屬氧化物半導(dǎo)體場效應(yīng)晶體管(MOS)后,實(shí)現(xiàn)了開關(guān)器件的高性能,開發(fā)了一個(gè)D類功率放大器頻帶寬,這才研發(fā)出寬頻帶的D類功率放大器。PWM調(diào)制方式非常直觀,信號幅度越高時(shí),脈沖寬度就越寬。同時(shí)低

9、通濾波器對信號具有積分作用,正脈沖幅度寬,積分的時(shí)間長,輸出的電壓就相應(yīng)增高。這樣,采用一個(gè)LC積分電路,就能將放大的PWM信號轉(zhuǎn)化成模擬信號,實(shí)現(xiàn)音頻信號的數(shù)模轉(zhuǎn)換。因?yàn)檫@些數(shù)字信號變換于集成電路中進(jìn)行,無需外部設(shè)備的幫助,且它不需要一個(gè)統(tǒng)一的格式,所以各廠家可以用最好的方法來開發(fā),使用者只需將模擬信號輸入然后在開關(guān)管恢復(fù)輸出信號。輸入信號輸入信號輸入信號輸出信號輸出信號輸出信號 (a)A類 (b)B類 (c)AB類 圖1.1 功放輸入輸出特性1.2數(shù)字功放的發(fā)展現(xiàn)狀 功放的研制已經(jīng)具有一個(gè)世紀(jì)的歷史,伴隨著大規(guī)模集成電路的不斷發(fā)展,各大集成電路制造商正研制出一些性能優(yōu)越的數(shù)字功放。它還為消

10、費(fèi)電器、音響和通訊廠家提供OEM技術(shù),這些產(chǎn)商在其官方網(wǎng)站上提供全面而詳細(xì)的技術(shù)說明文件、產(chǎn)品說明、圖片等。索尼、夏普、東芝、蘋果、愛立信等都在自己的高級產(chǎn)品中運(yùn)用數(shù)字功放,如蘋果電腦的哈曼·卡頓音箱的放大器就采用了T類放大芯片。 第一代D類功放的出現(xiàn)證實(shí)了功放的概念與優(yōu)越性能,但距離市場化卻經(jīng)歷了很長的時(shí)間。1999年底,丹麥和美國合資的TaeTAudio公司推出的高保真數(shù)字功率放大器的創(chuàng)新,這是D類功率放大器市場化的象征。 第二代D類功放由于經(jīng)過體積改造,功耗特別低,價(jià)格也十分合理而受到廣大消費(fèi)者的青睞。第二代D類功放制造商生產(chǎn)出了一系列產(chǎn)品,并在第一代D類功放的基礎(chǔ)上將相對簡單

11、的PWM和外置濾波器以及集成的輸出級組合在一起。第三代D類功放其特點(diǎn)是更小和更簡單,這是OEM生產(chǎn)者與D類芯片設(shè)計(jì)者之間共識。OEM生產(chǎn)商對市場的深入了解,反饋的芯片制造商,解決在過去設(shè)計(jì)的重要缺陷,這也使得新一代的產(chǎn)品與市場需求一致,更容易被消費(fèi)者所接受。2 數(shù)字功放的基本原理及電路組成2.1 數(shù)字功放的工作原理 D類功率放大器的工作方式主要是根據(jù)輸入信號幅度的變化在時(shí)間軸上進(jìn)行量化,從而將輸入信號變換為數(shù)字信號,這種模數(shù)變換通常可以采用脈沖寬度調(diào)制和調(diào)制等方式,其優(yōu)點(diǎn)是能改善嗓聲特性和實(shí)現(xiàn)寬帶化。首先要將輸入的模擬信號通過變換轉(zhuǎn)化成脈沖寬度調(diào)制碼PWM或脈沖密度調(diào)制碼PDM。要得到PWM碼

12、只需要將原輸入信號與用一個(gè)高頻三角波進(jìn)行電壓比較即可。當(dāng)輸入的模擬信號大于三角波的幅度時(shí),比較器輸出高電平,當(dāng)三角波電壓上升到大于輸入的模擬信號時(shí),比較器就會輸出低電平??梢娸斎胄盘栯娖皆礁吣敲磳?yīng)輸出的脈沖寬度就寬,輸入信號電平越低那么對應(yīng)輸出的脈沖寬度就窄,這種根據(jù)輸入電平高低而決定的輸出脈沖寬度的碼制就是所謂的PWM碼。如果采用三角波的頻率更高,那么可以將PWM碼轉(zhuǎn)化成脈沖密度調(diào)制PDM碼,很明顯輸入電平高那么脈沖密度就大,輸入電平低則對應(yīng)的脈沖密度就小。這種PDM碼與數(shù)字音頻中常用的1 bit調(diào)制很相似,所以在集成數(shù)字功放芯片中,更多的是采用PDM碼。然后將PWM碼或PDM碼通過門驅(qū)動

13、電路,而門驅(qū)動電路可以控制開關(guān)功放管的導(dǎo)通和截止,在開關(guān)功放管輸出端就得到與PWM或PDM相類似的脈沖信號,并且輸出脈沖幅度可以達(dá)到電源電壓,電流驅(qū)動能力非常強(qiáng)這樣就降低了后續(xù)電路的功耗。最后,將脈沖信號轉(zhuǎn)換成模擬信號,我們可以設(shè)計(jì)一個(gè)LC低通濾波器,它可以把一個(gè)脈沖寬度和密度轉(zhuǎn)換為相應(yīng)的電壓的大小。當(dāng)脈沖寬度大,電容器的充電時(shí)間較長,對應(yīng)高的積累電壓,反之電壓就低,從而把加載脈沖中的模擬信號還原出來。如果將數(shù)字音頻信號進(jìn)行放大,則與三角波信號比較就可以被消除,采用數(shù)字信號處理技術(shù)將數(shù)字音頻轉(zhuǎn)換不同格式為PWM或PDM編碼,其它的步驟與放大模擬信號是類似的。由于缺乏快速的大功率開關(guān)管,并且在大

14、功率時(shí)LC低通濾波器的要求很高加之受到高頻輻射等問題的影響,故在設(shè)計(jì)出此種電路的相當(dāng)長的時(shí)間后,卻沒有很快面向廣大市場。近年來隨著電子器件行業(yè)的飛速發(fā)展,快速低電壓控制大電流的MOSFET管已經(jīng)相當(dāng)普遍 ,開關(guān)特性、截止時(shí)的漏電流和導(dǎo)通時(shí)的飽和壓降都大為提高,器件的問題得到解決。 比 較 器 負(fù) 載 門 驅(qū) 動 輸入信號開 關(guān) 功 率 管 濾 波 電 路三角波信號 圖2.1 數(shù)字功放基本框圖2.2 數(shù)字功放的電路組成 如果輸入的信號是模擬信號,這時(shí)需要將其通過一個(gè)前置放大電路,提高其電壓增益,然后將其輸入到電壓比較器中與三角波信號進(jìn)行比較,從而產(chǎn)生PWM信號。再經(jīng)過門驅(qū)動器件的驅(qū)動后控制開關(guān)元

15、件的開啟和關(guān)閉。這時(shí)得到放大了的PWM信號。要想將其恢復(fù)成模擬信號,就必須這個(gè)信號輸入到相應(yīng)的LC低通濾波器中。當(dāng)輸入的是數(shù)字信號,那么將其輸入到比較器中與三角波信號進(jìn)行比較就可以省略了。其后續(xù)的原理與模擬信號輸入的原理是相同的。如圖2.2所示的就是數(shù)字功放的電路組成。開關(guān)元件數(shù)字音頻編碼變換門驅(qū)動 PDM碼 PWM碼取樣點(diǎn)波形發(fā)生器放大后的模擬信號低通濾波器開關(guān)放大后的PWM或PDM模擬信號輸入數(shù)字信號輸入 圖2.2 數(shù)字功放電路組成3 各模塊電路設(shè)計(jì)3.1 前置放大電路 如圖3.1所示的前置放大電路,為了提高電壓增益,必須加上前置放大器電路,它不僅能使放大器的增益連續(xù)變化從1到20,并可以

16、增加比較器的精度。如果功放輸出的最大不失真功率為1W時(shí),那么其8負(fù)載上的電壓Vp-p=8V,此時(shí)送給比較器音頻信號的Vp-p值應(yīng)為2V,則功放的最大增益約為4(事實(shí)上,最大不失真功率放大器是略大于1W,電壓增益大于4)。所以就必須對輸入的模擬音頻信號進(jìn)行放大,使其增益大于5。本設(shè)計(jì)采用寬頻帶LM393來組成同相寬帶放大器。采用同相放大的目的是容易使輸入電阻Ri大于等于 10 K。同時(shí),采用滿幅運(yùn)放可在降低電源電壓時(shí)仍能正常放大,又由于要求輸入電阻Ri大于1OK,所以R1=R2=51K,通過計(jì)算得Ri=25.5K,反饋電阻采用可變電阻R4,并取R4=20k,取反相輸入端電阻R3為2.4k,則前置

17、放大器的最大增益Av為9.3。調(diào)整可變電阻R4的阻值使其電壓增益約為8,那么整個(gè)功放的電壓增益就可以實(shí)現(xiàn)0-32內(nèi)可調(diào)。又考慮到前置放大器的最大不失真輸出電壓的幅值Vom小于2.5 V,所以取取Vom=2.0 V,則要求輸入的音頻最大幅度Vim小于250mV,如果超過此幅度則輸出會產(chǎn)生削波失真。那么就難以通過功放還原出不失真的音頻信號。 圖3.1 前置放大電路3.2 三角波產(chǎn)生電路 D類功率放大器電路,三角波信號作為載波信號的頻率是一個(gè)非常重要的技術(shù)參數(shù),根據(jù)采樣定理,載波頻率高則容易消除功率放大器的高頻干擾,且容易設(shè)計(jì)出符合要求的低通濾波器,因此在這種情況下應(yīng)盡可能提高三角波信號的頻率,這樣

18、既可以減少信號失真,又可簡化后續(xù)濾波器設(shè)計(jì)。但同時(shí),三角波的頻率增大也會導(dǎo)致功率器件的開關(guān)電路的開關(guān)頻率的增加,產(chǎn)生了開關(guān)損耗,大大降低了D類功率放大器的轉(zhuǎn)換效率。 根據(jù)奈奎斯特抽樣定理:無論是對模擬信號還是數(shù)字信號,只有在采樣頻率fs大于等于信號中最高頻率fmax的2倍時(shí),才能不失真地還原出原信號,但在實(shí)際應(yīng)用中通常使采樣頻率為被采樣信號頻率fmax的510倍。當(dāng)輸入音頻信號上限的上限頻率為10KHz,開關(guān)頻率最低為20KHz時(shí),為了保證功放系統(tǒng)的精度,那么載波信號的頻率就要大于100KHz。但在實(shí)際電路中,必須考慮器件工作頻率限制,載波信號的頻率和音頻信號的頻率需要滿足以下關(guān)系:三角波頻率

19、為音頻信號頻率的10到20倍。其三角波產(chǎn)生電路如圖3.2所示 圖3.2 三角波產(chǎn)生電路3.3 比較器電路比較器電路是D類功率放大器中非常重要的一部分,它將經(jīng)過放大的輸入信號與三角波載波信號進(jìn)行比較,產(chǎn)生PWM脈沖信號。對輸出的PWM信號來說,由于其脈沖寬度變化能夠體現(xiàn)輸入信號的幅值信息,這就要求比較器具有非常小的分辨率,以正確比較輸入的模擬信號和三角波信號。同時(shí),PWM脈沖信號的高低電平之間的轉(zhuǎn)換時(shí)間要短,否則經(jīng)過功放輸出的信號會出現(xiàn)明顯的交越失真,從而得不到預(yù)期的效果。其電路組成如圖3.3所示。當(dāng)比較器的同向端電壓大于反向端時(shí),其輸出為高電平;反之,比較器的輸出為低電平。但是在實(shí)際電路中都存

20、在不可避免的誤差,高低電平的轉(zhuǎn)換存在一個(gè)對應(yīng)于輸入電平中間點(diǎn)的亞穩(wěn)態(tài)區(qū)域,需要盡量減小亞穩(wěn)區(qū)的寬度來提高比較器的工作性能。當(dāng)提高比較器的增益時(shí),那么其精度也會隨之相應(yīng)地增高。在動態(tài)比較器,時(shí)間延遲指的是傳輸延時(shí)比較器的輸入和輸出之間的響應(yīng),在輸入信號幅度的變化會導(dǎo)致傳輸延遲的變化,輸入激勵較大時(shí)會使延時(shí)短,當(dāng)然,輸入激勵水平提高到一個(gè)最大值,超過這個(gè)上限輸入水平就無法對延遲產(chǎn)生影響,在這種狀態(tài)下的電壓變化,稱為擺率;當(dāng)輸入激勵較小以至于接近比較器的最小輸入電壓差時(shí),那么就重點(diǎn)關(guān)注傳輸延時(shí)。在任何PWM調(diào)制的系統(tǒng)中,這兩種情況都會出現(xiàn),因此要同時(shí)考慮傳輸延時(shí)和擺率。此外在信號處理中,還要考慮噪聲

21、的影響,所以就必須采取有效的措施降低比較器帶來的噪聲,并相對應(yīng)地提高電源電壓抑制比。 圖3.3 比較器電路3.4驅(qū)動電路驅(qū)動電路必須具有足夠的驅(qū)動能力,從而很好滴對功率開關(guān)管的寄生電容進(jìn)行充放電,達(dá)到控制功率開關(guān)管導(dǎo)通和截止的目的。本驅(qū)動電路原理圖如圖3.4所示 在脈沖波形變換中通常使用施密特觸發(fā)器(CD40106),在性能上它有兩個(gè)重要的特點(diǎn): 施密特觸發(fā)器具有兩個(gè)閥值電壓,輸入信號從低電平上升到高電平的過程中對應(yīng)的輸入轉(zhuǎn)換電平與輸入信號從高電平下降到低電平過程中對應(yīng)的輸入轉(zhuǎn)換電平是不同的。由于正反饋系統(tǒng)具有加速狀態(tài)轉(zhuǎn)換的功能,所以在添加了正反饋系統(tǒng)的CD40106可以使輸出電壓的邊沿變化很

22、陡。普通門電路都有一個(gè)閾值電壓,輸入信號在閥值電壓左右變化時(shí)電路的狀態(tài)將隨之發(fā)生變化。普通門電路的電壓傳輸特性曲線是單調(diào)的,施密特觸發(fā)器的電壓傳輸特性曲線是滯回的。這就大大降低了在閥值電壓周圍由于輸入電壓的波動而帶來的輸出波動,這在很大程度上降低了干擾。 圖3.4 驅(qū)動電路3.5 功放與低通濾波電路 圖3.5給出了功放與濾波電路,從前面的敘述可以知道,這部分電路是為了將PWM碼進(jìn)行放大和并且還原出音頻信號的,為了得到最高的轉(zhuǎn)換效率,我們采用了H橋互補(bǔ)對稱輸出電路。H橋型互補(bǔ)對稱輸出電路具有如下優(yōu)點(diǎn): 各電流變換器單元具有相同的結(jié)構(gòu),它很容易實(shí)現(xiàn)模塊化設(shè)計(jì);直流相互獨(dú)立;各變流器單元工作對稱。由

23、于普通的晶體三極管需要較大的驅(qū)動電流來驅(qū)動工作,這使得整個(gè)功放的靜態(tài)損耗及開關(guān)過程中的損耗較大。而場效應(yīng)晶體管的驅(qū)動電流小,低電阻和良好的開關(guān)特性,故它是一個(gè)高速VMOSFET管,由于其輸出功率大于1W,仍屬于小功率輸出。故可選用功率小,可以快速驅(qū)動的對管IRFD120和IRFD9120 VMOSFET對管的參數(shù)能夠滿足上述要求。 低通濾波器可以使低頻信號通過,使高于截止頻率的信號衰減或截止。對于不同的濾波器而言,每個(gè)頻率的信號的減弱程度是不同的。本設(shè)計(jì)中的濾波器采用四個(gè)相同的4階巴特沃思低通濾波器。 圖3.5 功放與低通濾波電路 3.6 直流穩(wěn)壓電源 由于此電路需要采用5V的直流穩(wěn)壓電源來供

24、電,所以必須設(shè)計(jì)出符合要求的電源電路。小功率直流穩(wěn)壓電源由電源變壓器、整流、濾波和穩(wěn)壓電路四個(gè)部分組成。將有效值為220V、頻率為50HZ的單相交流電通過電源變壓器將行降壓,使其峰值電壓達(dá)到5V左右,整流電路將降壓的交流電壓變?yōu)橹绷髅}沖電壓,再通過濾波電路得到穩(wěn)定的直流電壓。由于隨著電網(wǎng)電壓波動、負(fù)載和溫度的變化,這些都會導(dǎo)致電壓的波動,所以要將信號經(jīng)穩(wěn)壓電路來保證輸出的直流電壓的穩(wěn)定,在這里集成穩(wěn)壓管起著穩(wěn)定電壓的作用。 Vo220V50HZ 圖3.6 直流穩(wěn)壓電源4 功能仿真與數(shù)據(jù)分析4.1各電路仿真結(jié)果4.1.1前置放大信號 圖4.1.1 放大前后信號4.1.2 三角波信號 圖4.1.2

25、 三角波信號4.1.3 PWM碼 圖4.1.3 PWM碼4.1.4 經(jīng)過功放管的PWM碼 圖4.1.4 經(jīng)過功放管的PWM碼4.1.5還原出的音頻信號 圖4.1.5 還原出的音頻信號4.2 數(shù)據(jù)計(jì)算與分析4.2.1 電壓放大倍數(shù) 加入500mV正弦波后,經(jīng)前置放大后輸入p-p=750mV 輸出p-p= 3.640 V Av =Uo / Ui 將數(shù)據(jù)帶入中,得Av=4.854.2.2 效率 輸入i=200mV,整體電路全部采用5V單電源,雙端輸出各接一8負(fù)載 Pi=Ui × I Po=U / R =Po / Pi 帶入數(shù)據(jù)中,得Pi=1.0W,Po=0.726W 由,則 = ×

26、;100% = 72.6%4.2.3 通頻帶寬度 f L = 20 Hz f H = 20.5 KHz5數(shù)字功放干擾抑制 從電磁兼容的角度來看,數(shù)字功放的功率管工作在開關(guān)狀態(tài),這就是一個(gè)比較強(qiáng)的干擾源。數(shù)字功率放大器采用的開關(guān)電源技術(shù),不可避免地產(chǎn)生電磁干擾。而針對開關(guān)電源的電磁干擾的抑制方法,不是本文主要探討的重點(diǎn),故在此就不做過多地?cái)⑹?。但是,在?shí)際工作中,我們發(fā)現(xiàn)有些數(shù)字功放之所以產(chǎn)生電磁干擾,其原因?yàn)镻WM波。所以在此我們將重點(diǎn)討論如何抑制PWM板的電磁干擾。 工作在開關(guān)狀態(tài)的功率管,其頻率高電流大,非常接近電源部分。因此,對于使用開關(guān)電源供電的數(shù)字功放,干擾和紋波系數(shù)都比較大,所以必

27、須重點(diǎn)考慮元器件在PCB上排列的位置,并且要盡量使各部件之間的引線縮短,從而降低干擾。在總體布局上,要合理分開模擬信號、數(shù)字信號和噪聲源這三種信號,使它們之間的藕合最小干擾最小。此外,直流穩(wěn)壓電壓中,還要考慮電源變壓器的方向性,使之對電路產(chǎn)生的輻射最小。針對電磁場輻射較強(qiáng)的元件和對電磁感應(yīng)較敏感的元件,應(yīng)采取相應(yīng)的屏蔽措施。一些具有高的電位差的元件或?qū)Ь€,應(yīng)使它們盡量遠(yuǎn)離,以免放電發(fā)生意外短路。這些都是我們在設(shè)計(jì)電路時(shí)必須考慮的因素,為的是使數(shù)字功放具有比較高的保真度。 6 D類功放的發(fā)展與技術(shù)展望6.1 D類功放的不足 (1)輸出功率晶體管并不是理想意義上的開關(guān),兩只管子不能很好地相匹配,這

28、就會導(dǎo)致畸變的產(chǎn)生。 (2)在輸出功率晶體管的開啟和關(guān)閉過程中,接地電位的變化顯著,這會增加噪聲,嚴(yán)重時(shí)會引起信號失真。 (3)功率輸出電路是采用兩只功率晶體管接成的橋路,其中一個(gè)功率晶體管導(dǎo)通另一個(gè)封閉的,之間可以有死區(qū)存在,造成失真。 (4)采用低通濾波器把放大的PWM信號轉(zhuǎn)化成模擬信號時(shí),不可能徹底濾除脈寬調(diào)制的載波,也就是三角波信號,這是造成失真的一個(gè)重要因素。6.2 D類功放的最新發(fā)展T類功率放大器 由于D類功率放大器存在很大的缺陷,美國Tripath公司研發(fā)出了一種稱為數(shù)字功率處理技術(shù)(DPPTM),它就是T類功率放大器的核心技術(shù)。與D類功放有差別的是,它所采用的并不是脈寬調(diào)制,它

29、將把通信技術(shù)中處理小信號的預(yù)測算法及適應(yīng)算法用到信號處理當(dāng)中。音頻輸入信號經(jīng)數(shù)字信號處理技術(shù)后,控制功率晶體管的開啟和關(guān)閉,從而避免了采用PWM技術(shù)的D類功率放大器的缺點(diǎn)??梢哉f,DDPTM技術(shù)的問世,是數(shù)字功放發(fā)展史上的一座新的里程碑。 對于T類功率放大器,功率管的開關(guān)頻率是可以改變的,不同于采用相對固定的開關(guān)頻率的D類功率放大器,無用的分量或噪聲功率譜不集中在窄頻帶的兩側(cè),而分布在很寬的頻率,波形和T類功率放大器的頻譜波形膨脹是相似的,因此功率密度不高,這就極大地降低了對輸出低通濾波器的要求,易于制作出合適的低通濾波器。在國外,生產(chǎn)T類功放的電子公司已經(jīng)有很多,技術(shù)也不斷更新,國內(nèi)雖然在這

30、方面起步較晚,但是近年來發(fā)展速度迅猛,并且已經(jīng)有相關(guān)企業(yè)開始生產(chǎn)T類功率放大器。例如,夏新電子股份有限公司,其產(chǎn)品已進(jìn)入市場。有一點(diǎn)可以相信,隨著數(shù)字技術(shù)的不斷發(fā)展,數(shù)字功放將給我們的生活增添更多的精彩。結(jié) 論數(shù)字功放是在A類、B類、AB類功率的基礎(chǔ)上研制出來的,大規(guī)模集成電路的發(fā)展更促進(jìn)了數(shù)字功放的更新和改進(jìn)。數(shù)字功放的基本原理就是PWM原理,它與以往的功率放大器相比有著優(yōu)越的性能。本設(shè)計(jì)是根據(jù)數(shù)字功放的基本原理、電路組成、干擾抑制、功能仿真、技術(shù)展望等方面來進(jìn)行敘述的,其中著重介紹了數(shù)字功放的組成電路。目前,相關(guān)的數(shù)字功放已經(jīng)相當(dāng)成熟,由于更多的專業(yè)人員的加入,數(shù)字功放技術(shù)也得到了不斷完善

31、。針對D類功放的一些缺陷,相關(guān)的電子器件制造商已經(jīng)開始研發(fā)并生產(chǎn)處性能優(yōu)越的T類功放。與D類功放相比,T類功放顯示出其卓越的性能,但這并不代表著D類功放技術(shù)可以被拋棄,在我們研究新一代的功率放大器的時(shí)候,我們?nèi)匀恍枰獙類功放的相關(guān)技術(shù)有一個(gè)很好的認(rèn)識。只有這樣,我們才可以充分借鑒前人的研究成果,生產(chǎn)出更好的產(chǎn)品,造福人類。 致 謝從畢業(yè)設(shè)計(jì)選題到資料收集再到最終的論文寫作,期間經(jīng)歷了很多的艱辛。從剛開始的毫無頭緒到最后的成竹在胸,這一切都離不開丁西明老師的悉心指導(dǎo),正是丁老師給予我的幫助才使我在規(guī)定的時(shí)間內(nèi)完成畢業(yè)設(shè)計(jì)的寫作。丁老師無論是工作期間還是在節(jié)假日休息的時(shí)候,都會在第一時(shí)間解答我的

32、問題,并且提出很多寶貴的建議,這使我的論文更加完善。在電路仿真的時(shí)候,丁老師對我所設(shè)計(jì)的電路的各個(gè)組成部分都進(jìn)行了仔細(xì)地檢查糾正,這樣就讓我得到了預(yù)期的結(jié)果。再此由衷地感謝。當(dāng)然,大學(xué)四年里每個(gè)授課老師的教誨讓我打下了堅(jiān)實(shí)的專業(yè)基礎(chǔ),這也是我能完成此次畢業(yè)設(shè)計(jì)的重要原因,在此一并感謝。感謝你們!參考文獻(xiàn)1黎燕.多功能網(wǎng)絡(luò)數(shù)字音頻功率放大器的硬件設(shè)計(jì)與實(shí)現(xiàn).電子科技大學(xué)學(xué)位論文D, 2008:04-062郭遠(yuǎn)東.數(shù)字功放的電磁騷擾抑制方法和整改實(shí)例.電子工業(yè)出版社M.2012:70-733貴體翔.數(shù)字功放綜述.實(shí)用影音技術(shù)J.2000:54-574龍泉.數(shù)字功放的派別與發(fā)展現(xiàn)狀.實(shí)用影音技術(shù)J.

33、2007:35-425錢志遠(yuǎn).數(shù)字功放原理和實(shí)際應(yīng)用電路.電路實(shí)作J2005:54-566張楊.一體化數(shù)字功放模塊的設(shè)計(jì).中國科技信息J.2009:170-1717陳壽才.羅立紅.袁力輝.數(shù)字功放的印制線路板設(shè)計(jì).電子質(zhì)量J.2005:72-738杜丁丁.數(shù)字信號發(fā)生器的設(shè)計(jì).河北農(nóng)業(yè)大學(xué)學(xué)報(bào)J2009:117-1209陳新國.D類功放的設(shè)計(jì)與分析.電子元件與材料J.2004:28-3010汪世文.使用NE555和LM393實(shí)現(xiàn)脈寬調(diào)制的D類功放.電子世界J.2011:45-4611泛高.新一代數(shù)字功放集成電路TAS5182.實(shí)用影音技術(shù)J.2012:122-13012莊磊.數(shù)字功放中的低通濾

34、波器.電聲技術(shù)J.2004:65-7113姜立中.音頻數(shù)字功放電路.電子世界J.2001:34-3914周培.數(shù)字功放核心技術(shù)的設(shè)計(jì)與論證,中外企業(yè)家J2013:03-0715陳啟宇.數(shù)字音頻功率放大器.電聲技術(shù)J.2004:49-5216周全才,李斌.數(shù)字音頻功率放大器的技術(shù)與現(xiàn)狀.電子制作J.2000:119-12417李傳忠.功放技術(shù)及發(fā)展趨勢.音響技術(shù)J.2010:11-1618Hoyerby M.C.W. , Andersen M.A.E.,Carrier Distortion in Hysteretic Self-Oscillating Class-D Audio Power Am

35、plifiers: Analysis and Optimization,:J.Power Electronics, IEEE Transactions on(IF 4.08), 2009, Vol.2 (3), pp.714- 729IEEE,13-1519R Esslinger , G Gruhler , R W Stewart,Digital Audio Power Amplifiers using Sigma Delta Modulation Linearity Problems in the Class-D Power Stage,:J.PREPRINTS- AUDIO ENGINEE

36、RING SOCIETY, 2001, (5400)OCLC,112-11820Antunes V.M.E. , Pires V.F. , Silva J.F.A.,Narrow Pulse Elimination PWM for Multilevel Digital Audio Power Amplifiers Using Two Cascaded H-Bridges as a Nine-Level Converter,:J.Power Electronics, IEEE Transactions on(IF 4.08), 2007, Vol.2 (2), pp.425- 434IEEE,4

37、3-5021V M E Antunes , V F Pires , J F A Silva,Narrow Pulse Elimination PWM for Multilevel Digital Audio Power Amplifiers Using Two Cascaded H-Bridges as a Nine-Level Converter,:J.IEEE TRANSACTIONS ON POWER ELECTRONICS PE(IF 4.08), 2007, Vol.22 (2), pp.425-434OCLC,65-70附錄元器件清單 名稱 編號 型號 阻值 數(shù)量 電阻 R1 RTX-1/8W 51k 1 R2 RTX-1/8W 51k 1 R3 RTX-1/8W 10k 1 R4 WH7型微調(diào)電阻器 20k 1 R5 WH7型微調(diào)電阻器 2.2k 1 R6 RTX-1/8W 10k 1 R7 RTX-1/8W 10k 1 R8 RTX-1/8W 10k 1 R9 RTX-1/8W 10k 1 R10 RTX-1/8W 1k 1 R11 RTX-1/8W 10k 1 R12 RTX-1/8W 20k 1 R13 RTX-1/8W 10k 1 R14 RTX-1/8W 20k 1 R15 RTX-1/8W 10k 1 RL RTX

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論