基于51單片機(jī)的簡(jiǎn)易秒表設(shè)計(jì)_第1頁(yè)
基于51單片機(jī)的簡(jiǎn)易秒表設(shè)計(jì)_第2頁(yè)
基于51單片機(jī)的簡(jiǎn)易秒表設(shè)計(jì)_第3頁(yè)
基于51單片機(jī)的簡(jiǎn)易秒表設(shè)計(jì)_第4頁(yè)
基于51單片機(jī)的簡(jiǎn)易秒表設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩29頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、i 畢業(yè)論畢業(yè)論文文 論論文文題題目目 51 單單片機(jī)的片機(jī)的簡(jiǎn)簡(jiǎn)易秒表易秒表設(shè)計(jì)設(shè)計(jì) 指指導(dǎo)導(dǎo)教教師師 專(zhuān)專(zhuān) 業(yè)業(yè) 計(jì)計(jì)算機(jī)算機(jī)應(yīng)應(yīng)用技用技術(shù)術(shù)( (電電子信息工程技子信息工程技術(shù)術(shù)) )班班 級(jí)級(jí) 電電子子 1201 姓姓 名名 學(xué)學(xué) 號(hào)號(hào) 1203200302 2015 年 3 月 30ii基于 51 單片機(jī)的簡(jiǎn)易秒表設(shè)計(jì)摘要:摘要:本次論文設(shè)計(jì)的電子秒表電路主要由 stc89c52 單片機(jī)最小系統(tǒng)電路、數(shù)碼管動(dòng)態(tài)顯示電路和控制電路組成。秒表具備啟動(dòng)、停止、清零功能組計(jì)時(shí)蜂鳴器報(bào)時(shí)等功能,在單片機(jī)最小體系的基礎(chǔ)上增加顯示電路和控制電路來(lái)完成的系統(tǒng)的設(shè)計(jì)的。關(guān)鍵詞關(guān)鍵詞 :51 單片機(jī);秒

2、表;數(shù)碼管;iiidesign of simple stopwatch based on 51 chip abstractabstract:using this chip stc89c52 chip as the mcu control port control digital tube display minutesand seconds led digital tube, we must first understand the working principle and the way it, using the button to achieve a stopwatch start s

3、top reset function packet timing buzzertime accurate to 0.01 seconds.the design of this thesis draw using microcontroller schematic diagram of led digital tubecircuit diagram and timer mode control, and realize the design of the hardware circuit and programming to complete the final commissioning so

4、ftware part of the box in the experimentabove, the stopwatch with start, stop, reset function group timing buzzer timekeeping functions. through this design deepen the comprehensive understanding of scm curriculum, and to review and grasp the further understanding of scm course and its application.

5、grasp the external setting and programming principle of interrupt, timer.through this graduation design, to integrated single-chip programming and program verification.through the experimental system of single chip microcomputer timer counter,timer and counter the simple design principle. the design

6、 is based on scm minimumsystem increased on the display circuit and the control circuit design of the system to complete the. electronic stopwatch circuit mainly by the stc89c52 microcontrollerminimum system circuit, digital tube dynamic display circuit and a control circuit.keykey wordswords: 51 si

7、nglechip; stopwatch; circuit;iv目 錄第一章第一章 緒論緒論.1 11.1 選題背景.11.2 研究目標(biāo)和意義.1第第 2 2 章章 系統(tǒng)方案設(shè)計(jì)系統(tǒng)方案設(shè)計(jì).5 52.1 設(shè)計(jì)要求.52.2 工作原理及系統(tǒng)整體方案設(shè)計(jì).22.2.1 工作原理 .22.2.2 系統(tǒng)整體設(shè)計(jì)方案.3第第 3 3 章章 系統(tǒng)硬件設(shè)計(jì)系統(tǒng)硬件設(shè)計(jì).4 43.1 單片機(jī)的選型.43.2 時(shí)鐘電路.43.3 復(fù)位電路.53.5 顯示電路.5第第 4 4 章章 系統(tǒng)軟件設(shè)計(jì)系統(tǒng)軟件設(shè)計(jì).6 64.1 定時(shí)初值的計(jì)算 .64.2 初始化 .74.3 判斷有無(wú)鍵按下,并進(jìn)行按鍵處理 .74.4

8、顯示子程序設(shè)計(jì) .74.5 中斷子程序設(shè)計(jì) .8第第 5 5 章章 測(cè)試及仿真結(jié)果測(cè)試及仿真結(jié)果.9 9第第 6 6 章章 結(jié)語(yǔ)結(jié)語(yǔ).1 11 1參考文獻(xiàn)參考文獻(xiàn).1 12 2致致 謝謝.1313附附 錄錄.1414v1第一章第一章 緒論緒論1.11.1 選題背景選題背景 單片機(jī)自上世紀(jì) 70 年代以來(lái),由于其價(jià)格不是很高,因此受到百姓的重視和關(guān)注,所以發(fā)展很快,單片機(jī)目前應(yīng)用廣泛。單片機(jī)的主要特點(diǎn)就是體積小、集成度高、重量強(qiáng)、抗干擾能力強(qiáng)、對(duì)環(huán)境的要求低、價(jià)格低廉、可靠性高、靈活性強(qiáng)、開(kāi)發(fā)較為容易。正因?yàn)閱纹瑱C(jī)有這些優(yōu)良的特性,所以被廣泛應(yīng)用于工業(yè)自動(dòng)化控制,自動(dòng)檢測(cè),智能儀器,智能化家用電

9、器,航空航天和軍事技術(shù)領(lǐng)域,我們可以利用單片機(jī)系統(tǒng)跟其他系統(tǒng)相結(jié)合,以獲得很高的經(jīng)濟(jì)效益,更重要的意義在于單片機(jī)的應(yīng)用改變了控制系統(tǒng)的設(shè)計(jì)思想和方法,極大推動(dòng)了工業(yè)的發(fā)展。通過(guò)硬件以及軟件的組合來(lái)取代單純的硬件確實(shí)能提高系統(tǒng)性能的控制技術(shù)。本文所要論述的就是通過(guò)單片機(jī)來(lái)控制 led 顯示屏顯示秒表數(shù)字,秒表是電器制造、電信、工業(yè)自動(dòng)化控制、國(guó)防、實(shí)驗(yàn)室及科研單位理想的計(jì)時(shí)工具。本秒表/時(shí)鐘設(shè)計(jì)就是利用單片機(jī)的上述特點(diǎn),采用目前市場(chǎng)上性?xún)r(jià)比比較高單的 stc89c52 單片機(jī)設(shè)計(jì)而成的最小系統(tǒng)。它在實(shí)際生活中具有十分廣泛的應(yīng)用。1.21.2 研究目標(biāo)和意義研究目標(biāo)和意義 本設(shè)計(jì)是在單片機(jī)最小系統(tǒng)

10、的基礎(chǔ)上增加顯示電路和控制電路來(lái)完成電子秒表的硬件電路,以達(dá)到 0.01s 的精度以及啟動(dòng)、暫停、清零等功能。電子秒表電路主要由 stc89c52 單片機(jī)最小系統(tǒng)電路、四段數(shù)碼管動(dòng)態(tài)顯示電路和控制電路等模塊組成,它能實(shí)現(xiàn)四位數(shù)碼顯示和多次計(jì)時(shí),能通過(guò)控制電路能控制時(shí)間的暫停和開(kāi)始,能夠多次計(jì)時(shí)、查詢(xún)計(jì)時(shí)時(shí)間。秒表是一種常用的測(cè)時(shí)儀器。 本設(shè)計(jì)從總體要求出發(fā),本次設(shè)計(jì)采用自頂向下逐步細(xì)化的方法,將系統(tǒng)模塊化,使得系統(tǒng)具有很強(qiáng)的擴(kuò)充性,并且在實(shí)現(xiàn)設(shè)計(jì)的基礎(chǔ)上,采用maxplus 的仿真環(huán)境進(jìn)行仿真實(shí)驗(yàn),得到理想的仿真效果。本設(shè)計(jì)完成的秒數(shù)計(jì)時(shí)功能,實(shí)現(xiàn)了在許多特定場(chǎng)合進(jìn)行時(shí)間追蹤的功能,在社會(huì)生活

11、中具有十分廣泛的應(yīng)用價(jià)值。 2第第 2 2 章章 系統(tǒng)方案設(shè)計(jì)系統(tǒng)方案設(shè)計(jì)2.12.1 設(shè)計(jì)要求設(shè)計(jì)要求數(shù)字秒表設(shè)計(jì)由單片機(jī) at89c51、數(shù)碼管、開(kāi)關(guān)、電阻、電容等部分組成。其功能要求是用 at89c51 設(shè)計(jì)一個(gè) 2 位 led 數(shù)碼顯示的“秒表”,顯示時(shí)間為00-99 秒,每秒自動(dòng)加一。另外還設(shè)計(jì)了一個(gè)“開(kāi)始”按鍵、一個(gè)“復(fù)位”按鍵和一個(gè)“暫?!卑存I。按下“啟動(dòng)”按鈕,開(kāi)始計(jì)數(shù),從 00 開(kāi)始加二自動(dòng)數(shù)碼管顯示;按“暫?!卑粹o,系統(tǒng)停止計(jì)數(shù),數(shù)碼管顯示計(jì)數(shù)。本系統(tǒng)應(yīng)具有以下四種功能:1 1 時(shí)鐘功能時(shí)鐘功能對(duì)于時(shí)鐘功能,我們需要在數(shù)碼管上顯示小時(shí)、分鐘和秒鐘,因此,可以在內(nèi)部存儲(chǔ)空間分

12、別定義它們的顯示、緩存空間,來(lái)存放小時(shí)、分鐘和秒鐘的bcd 碼,每個(gè) bcd 碼各需要 2 個(gè)字節(jié)的儲(chǔ)存空間。隨著時(shí)鐘的不停走動(dòng),因此有必要采取自動(dòng)定時(shí)內(nèi)部定時(shí)器,使用定時(shí)器中斷時(shí)間的數(shù)值刷新定時(shí)處理器。定時(shí)器 51 單片機(jī)的 16 位定時(shí)器工作模式。當(dāng)晶振為 12mhz 時(shí),16 位定時(shí)器的最大定時(shí)值為 60ms;因?yàn)樵谟?jì)秒表功能也需要使用 1 個(gè)定時(shí)器,因此,我們使用第一種方法,只使用 1 定時(shí)器 t0 的使用。由于秒表在計(jì)功能時(shí)也需要用到 1 個(gè)定時(shí)器,因此,我們采用第一個(gè)方法,只使用 1 個(gè)定時(shí)器,例如使用t0。為了達(dá)到較為準(zhǔn)確的計(jì)時(shí),使 t0 的溢出時(shí)間為 50ms,使用一個(gè)字節(jié)作為

13、軟件計(jì)數(shù)器 st,計(jì)數(shù)值為 20。中斷處理程序定時(shí)器減 1 操作時(shí),st 是 0,此時(shí)存儲(chǔ)緩沖時(shí)間,顯示更新分鐘,秒。 2 2 計(jì)時(shí)功能計(jì)時(shí)功能 當(dāng)作為一個(gè)計(jì)時(shí)秒表功能,還需要一個(gè)定時(shí)器 10ms,單片機(jī)定時(shí)器 1 在這種情況下使用。在 timer1 的中斷處理程序中更新 ss 和 ss 的緩存空間,與上面類(lèi)似。 3 3 功能按鍵功能按鍵再看按鍵的處理,這 3 鍵可以使用中斷的方法也可以用來(lái)確定查詢(xún)的方法。3對(duì)于 a、b 鍵,主要功能在于功能切換和數(shù)值復(fù)位,對(duì)于時(shí)間的要求不是很?chē)?yán)格,而 c 鍵主要用于時(shí)間的鎖定,需要比較準(zhǔn)確的控制。因此認(rèn)為 a,b 鍵使用查詢(xún)模式,和 c 鍵與外部中斷。4 4

14、 中斷嵌套和控制中斷嵌套和控制現(xiàn)在在我的方案中有 3 個(gè)中斷,t0、t1 中斷和外部中斷 int0。這 3 個(gè)中斷的特點(diǎn)是:t0 的工作是連續(xù)的,可以在誤差范圍內(nèi)可以被打斷但不可以停止;t1 的工作同樣可以在誤差范圍內(nèi)被打斷,但可以被 int0 停止;int0 是用來(lái)啟動(dòng)或停止 t1 的。 2.22.2 工作原理及系統(tǒng)整體方案設(shè)計(jì)工作原理及系統(tǒng)整體方案設(shè)計(jì)2.2.12.2.1 工作原理工作原理從00開(kāi)始計(jì)數(shù)開(kāi)始按鍵開(kāi)始計(jì)數(shù)復(fù)位按鍵暫停按鍵數(shù)碼管顯示00數(shù)碼管顯示當(dāng)前數(shù)值圖圖 2.12.1 系統(tǒng)工作原理圖系統(tǒng)工作原理圖該系統(tǒng)主要由三部分組成:鍵控信號(hào)處理軟件,單片機(jī),數(shù)碼管顯示。電路的操作,通過(guò)

15、開(kāi)關(guān)的關(guān)鍵決定其運(yùn)作模式,通過(guò)單片機(jī)內(nèi)部程序進(jìn)行相應(yīng)的處理,在數(shù)碼管上顯示。一個(gè)機(jī)器周期的 12m 晶振使用 1 微秒,二延時(shí)所產(chǎn)生的循環(huán),存儲(chǔ)在單片機(jī)(掃描時(shí)間值可以是積極的,也有倒計(jì)時(shí)),并在數(shù)碼管上顯示通過(guò)輸出。此外,數(shù)碼管連接的上拉電阻,是為了確保不壓銷(xiāo)的外部鍵,負(fù)載保持引腳是低的電流。系統(tǒng)整體設(shè)計(jì)如圖 2.1 所示。2.2.2 系統(tǒng)整體設(shè)計(jì)方案數(shù)字秒表系統(tǒng)主要完成對(duì)計(jì)時(shí)實(shí)時(shí)的顯示,精度達(dá)到 0.01s,以及通過(guò)功能鍵可以使當(dāng)前計(jì)時(shí)暫停或清零。系統(tǒng)主要包括時(shí)鐘電路、復(fù)位電路、按鍵電路以及數(shù)碼管顯示電路。系統(tǒng)采用六個(gè)共陽(yáng)極數(shù)碼管,其中八位數(shù)據(jù)口與單片機(jī)的 p0 口通過(guò) 74ls245 雙

16、向總線(xiàn)收發(fā)器相接,以增加 p0 的驅(qū)動(dòng)能力。6 個(gè)片選信號(hào)連接單片機(jī) p2 口的低六位。該電路可以滿(mǎn)足顯示定時(shí)時(shí)間,顯示 0.01s 的最小單位,顯示時(shí)間范圍在40 到 59 分鐘 59.99 秒。3 個(gè)功能鍵連接到 p3 口的低三位,控制系統(tǒng)的計(jì)時(shí)、暫停和清零。系統(tǒng)的整體組成框圖如圖 2.2 所示。時(shí)鐘電路按鍵電路數(shù)碼管單片機(jī)復(fù)位電路圖 2.2 系統(tǒng)整理組成框圖5第第 3 3 章章 系統(tǒng)硬件設(shè)計(jì)系統(tǒng)硬件設(shè)計(jì) 電路原理圖最基本最重要的要求就是要正確,然后就是布局必須合理不能雜亂無(wú)章,最后是布局還要美觀(guān),下圖 3.1 為本設(shè)計(jì)的硬件總體電路示意圖:圖 3.1 硬件總體電路示意圖3 3.1.1 單

17、片機(jī)的選型單片機(jī)的選型at89c2052 是一個(gè)低電壓,高性能 cmos 8 位單片機(jī),at89c2052 片內(nèi)含 8k bytes 的 flash 只讀程序存儲(chǔ)器,這些制度程序存儲(chǔ)器是可反復(fù)擦寫(xiě)的,以及256 bytes 的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(ram),at89c2052 器件采用 atmel 公司的儲(chǔ)存技術(shù)進(jìn)行生產(chǎn),該技術(shù)具有高密度、非易失性等優(yōu)點(diǎn),并且單片機(jī)兼容標(biāo)準(zhǔn) mcs-51 指令系統(tǒng),片內(nèi)置通用 8 位中央處理器和 flash 存儲(chǔ)單元,at89c2052 功能十分強(qiáng)大的,可用于許多較復(fù)雜系統(tǒng)控制應(yīng)用場(chǎng)合。 at89c52 單片機(jī)有 40 個(gè)引腳,32 個(gè)外部雙向輸入/輸出(i/o

18、)端口,同時(shí)內(nèi)含 2 個(gè)外中斷口,3 個(gè) 16 位可編程定時(shí)計(jì)數(shù)器,2 個(gè)全雙工串行通信口,2個(gè)讀寫(xiě)口線(xiàn),at89c52 可以按照常規(guī)方法進(jìn)行編程,也可以在線(xiàn)編程。其將通用的微處理器和 flash 存儲(chǔ)器結(jié)合在一起,特別是可反復(fù)擦寫(xiě)的 flash 存儲(chǔ)器可有效地降低開(kāi)發(fā)成本。at89c52 有 pdip、pqfp/tqfp 及 plcc 等三種封裝形式,6以適應(yīng)生產(chǎn)不同產(chǎn)品的需要。 3.23.2 時(shí)鐘電路時(shí)鐘電路時(shí)鐘電路是單片機(jī)最重要的組成部分之一,它的主要作用是產(chǎn)生 cpu 的校準(zhǔn)時(shí)序,at89c51 時(shí)鐘信號(hào)可以通過(guò) 2 中方式得到,分別是內(nèi)部震蕩方式,第二種是外部振蕩方式。這次論文采用的

19、是內(nèi)部震蕩方式。時(shí)鐘電路的時(shí)序控制是通過(guò)外界 12mhz 的晶振來(lái)實(shí)現(xiàn)的。xtal1 為反向放大器輸出端,xtal 為正向放大器的輸入端。外部連接晶體電容來(lái)構(gòu)成諧振電路,然后將該電路接到反饋電路里面去,電路接法如圖所示:圖 3.2 反饋電路接法3.33.3 復(fù)位電路復(fù)位電路單片機(jī)復(fù)位的作用是確保 cpu 和系統(tǒng)中的其他功能模塊都處在一個(gè)統(tǒng)一的初始狀態(tài),并從這個(gè)狀態(tài)開(kāi)始進(jìn)行工作。當(dāng)單片機(jī)剛開(kāi)始聯(lián)通電源時(shí)需要復(fù)位,斷電后或者發(fā)生故障出現(xiàn)錯(cuò)誤后也需要復(fù)位。51 系列單片機(jī)的復(fù)位信號(hào)是從rst 引腳輸人到芯片的施密特觸發(fā)器中的。當(dāng)系統(tǒng)處于正常工作狀態(tài)時(shí),且振蕩器穩(wěn)定之后,如果 rst 引腳有一個(gè)高電平

20、并維持 2 個(gè)系統(tǒng)機(jī)器周期(24 個(gè)振蕩周期),則 cpu 就可馬上進(jìn)行響應(yīng)并且將系統(tǒng)進(jìn)行復(fù)位處理。復(fù)位分為上電復(fù)位手動(dòng)復(fù)位和電源復(fù)位兩種情況。圖 3.2 系統(tǒng)復(fù)位電路的示意圖:7圖 3.3 復(fù)位電路示意圖3.43.4 按鍵電路按鍵電路本系統(tǒng)設(shè)置 3 個(gè)功能按鍵分別為 key1、 key2 和 key3, 其中 key1 是開(kāi)始按鍵, 與 p3.0 相連,按下時(shí)數(shù)碼管開(kāi)始計(jì)時(shí);key2 是停止按鈕,連接 p3.1數(shù)碼管,當(dāng)你按下的時(shí)候數(shù)碼管停止時(shí)間走動(dòng);key3 是清零按鍵,與 p3.2 相連,按下時(shí)數(shù)碼管全部清零,即全部顯示為零。當(dāng)數(shù)碼管開(kāi)始計(jì)數(shù)的時(shí)候,不能直接按“清除”按鈕需要首先,按下停

21、止按鈕停止,然后按復(fù)位鍵初始化所有顯示 0。3.53.5 顯示電路顯示電路led 顯示驅(qū)動(dòng)器的設(shè)計(jì)是一個(gè)非常重要的問(wèn)題,顯示電路由 led 顯示,驅(qū)動(dòng)電路和驅(qū)動(dòng)電路部分組成。lcd1620 液晶也被稱(chēng)為 1602 字符型液晶顯示器,它是一種專(zhuān)門(mén)用來(lái)顯示字母、數(shù)字、符號(hào)的點(diǎn)陣型液晶模塊。它有若干個(gè) 5x7或者 5x11 等點(diǎn)陣字符位組成。每個(gè)點(diǎn)陣字符都可以顯示一個(gè)字符。每個(gè)也有間隔之間扮演的角色間距和排間距的影響因?yàn)檫@樣他可以顯示圖形 n1602lcd 指顯示每個(gè)線(xiàn)的距離間隔 16x2 內(nèi)容。位驅(qū)動(dòng)電路通過(guò)六只 npn 三極管驅(qū)動(dòng)使 p2 口的低六位作為位選信號(hào),使之產(chǎn)生足夠大的電流,來(lái)驅(qū)動(dòng) l

22、ed達(dá)到足夠的亮度,顯示器才能正常工作。如果驅(qū)動(dòng)電路能力差,即驅(qū)動(dòng)電流過(guò)小,數(shù)碼管顯示亮度低,而驅(qū)動(dòng)電路驅(qū)動(dòng)電流太大,這種情況下特別容易損壞數(shù)碼管。led 顯示屏具有兩種控制模式:靜態(tài)和動(dòng)態(tài)。設(shè)計(jì)方案的選擇是動(dòng)態(tài)控制方法。由于數(shù)據(jù)顯示由信號(hào)部分代碼和代碼一起完成的,因此,也應(yīng)考慮一段和驅(qū)動(dòng)能力,驅(qū)動(dòng)能力部分必須定位驅(qū)動(dòng)能力。lde 顯示模塊如圖 3.3 所示:8圖 3.4 顯示模塊原理圖第 4 章 系統(tǒng)軟件設(shè)計(jì)利用主程序的子程序的設(shè)計(jì)方法,來(lái)初始化定時(shí)器和相關(guān)寄存器和內(nèi)存單元的主程序,調(diào)用按鍵掃描子程序和顯示子程序,判斷時(shí)間是否為 9 分鐘 59 秒的時(shí)間。主程序設(shè)計(jì)流程圖如圖 4-1 所示。

23、 調(diào)用按鍵掃表子程序開(kāi)始語(yǔ)音初始化計(jì)時(shí)時(shí)間到了9分59秒?將計(jì)數(shù)單元3fh-42h清零,將存放按鍵次數(shù)的寄存器r7清零,將存放位選值的寄存器r2清零,關(guān)閉定時(shí)器。ny調(diào)用顯示子程序計(jì)數(shù)單元清零圖 4.1 主程序設(shè)計(jì)流程圖4.14.1 定時(shí)初值的計(jì)算定時(shí)初值的計(jì)算 根據(jù)計(jì)算的時(shí)鐘頻率為 12mhz,機(jī)器周期為 1s,使用定時(shí)器/計(jì)數(shù)器 19的工作方式,定時(shí)時(shí)間 50ms。計(jì)算初值如下: 計(jì)數(shù)值 n=定時(shí)/計(jì)數(shù)器最大值 m,定時(shí)/計(jì)數(shù)器初值 x 則初值 x=m/n 機(jī)器周期 tm = 12 * 12 * 1 / 12 osc =106 = 1 秒采用定時(shí)器工作方式 1,所以初值為 x=216,50

24、ms/1us=15536=3cb0h 所以 th0=3ch,tl0=b0h4.24.2 初始化初始化 通過(guò)初始化設(shè)置定時(shí)器 0 和 1,總開(kāi)定時(shí)器 0 中斷,分別存儲(chǔ)毫秒,秒,分鐘計(jì)數(shù)單元,3fh,40h,41h 42h,復(fù)位。關(guān)閉定時(shí)器/計(jì)數(shù)器 t0,數(shù)字鍵存儲(chǔ)寄存器 r1 復(fù)位。4.34.3 判斷有無(wú)鍵按下,并進(jìn)行按鍵處理判斷有無(wú)鍵按下,并進(jìn)行按鍵處理 因?yàn)橹挥幸粋€(gè)連接到 p3.0 連接獨(dú)立按鍵,所以連接 p3.0 使用,讀取按鈕狀態(tài) keyend 命令。當(dāng) p3.00 是一個(gè)鍵被按下,然后去抖延時(shí)去抖延時(shí)10ms,將存儲(chǔ)的數(shù)字鍵再加上 1 的 r7 寄存器,然后判斷 r1 的值是什么,如

25、果是 1(代表第一個(gè)按鈕)和 setb tr0。則用 setb tr0 指令啟動(dòng)定時(shí)器 t0;如果是 2 則用 clr tr0 指令關(guān)閉定時(shí)器 t0;如果是 3 則將存放時(shí)間的3fh,40h,41h,42h 單元及存放按鍵次數(shù)的 r1 清零。程序設(shè)計(jì)流程圖如圖 4.2所示:10開(kāi)始將累加器a的內(nèi)容壓入堆棧n按鍵按下次數(shù)子程序返回有鍵按下嗎?按鍵延時(shí)消抖關(guān)閉定時(shí)器秒表停止計(jì)數(shù)秒表復(fù)位顯示0啟動(dòng)定時(shí)器秒表開(kāi)始計(jì)數(shù)將堆棧內(nèi)容彈出至累加器an圖 4.2 節(jié)點(diǎn)無(wú)線(xiàn)通信模塊的軟件流程4 4.4.4 顯示子程序設(shè)計(jì)顯示子程序設(shè)計(jì) 顯示子程序是用來(lái)儲(chǔ)藏在 3fh,40h,41h,42 單位計(jì)數(shù)的值,查找和計(jì)算

26、相應(yīng)的代碼段,然后將信息發(fā)送給對(duì)應(yīng)的 led 數(shù)碼管顯示 。程序設(shè)計(jì)流程圖如圖 4-3 所示。 開(kāi)始將r0賦初值3fh,r2賦初值08h,r3賦初值4n中斷返回y累加器a清零,通過(guò)mova,r0指令讀數(shù)計(jì)數(shù)單元的值根據(jù)計(jì)數(shù)單元的值,通過(guò)查表指令,找到跟計(jì)數(shù)值相對(duì)應(yīng)的顯示斷碼。將查表的顯示送數(shù)碼顯示器,并從r2讀取位選碼,并送p2口。顯示延時(shí)r0加1,準(zhǔn)備讀取下一計(jì)數(shù)單元,修改r2的值,準(zhǔn)備顯示下個(gè)數(shù)碼管。4個(gè)數(shù)碼管掃描管顯示完了嗎?圖 4.3 顯示子程序流程圖4 4.5.5 中斷子程序設(shè)計(jì)中斷子程序設(shè)計(jì)11這個(gè)任務(wù)定時(shí)器 t0 定時(shí)器 50ms,每 50ms,t0 產(chǎn)生中斷,進(jìn)入中斷服務(wù)程序。

27、在中斷服務(wù)程序過(guò)程中,將第一內(nèi)容能入棧,保護(hù)現(xiàn)場(chǎng),然后重新安裝到初始值。而后 50ms 計(jì)數(shù)單元加 1,再判別計(jì)數(shù)單元是不是、加到 2,若沒(méi)有則中止返回,然后判斷是否為 10 毫秒計(jì)數(shù)單元(即 1s),如果沒(méi)有的話(huà),中斷返回;若到了 10,則將毫秒計(jì)數(shù)單元清零。然后將秒的個(gè)位計(jì)數(shù)單元加 1,秒的個(gè)位計(jì)數(shù)單元加 1 后判斷是否加到 10,若不是則中斷返回;如果這將是一個(gè)位計(jì)數(shù)單元復(fù)位秒 10 位計(jì)數(shù)單元,加上 1 秒,然后將開(kāi)始初始化初始值 r0 3fh,r2 r3 08h,初始值 4 累加器復(fù)位,通過(guò)自轉(zhuǎn)、mov、r0 指令讀取計(jì)數(shù)單元的值根據(jù)計(jì)數(shù)單元的值,通過(guò)查表指令,找到跟計(jì)數(shù)值相對(duì)應(yīng)的顯

28、示段碼將查表得顯示段碼送顯示器,并從 r2 讀取位選碼,并送 p2 口顯示延時(shí) r0 加1,準(zhǔn)備讀取下一計(jì)數(shù)單元,修改 r2 的值,準(zhǔn)備顯示下個(gè)數(shù)碼管 4 個(gè)數(shù)碼管掃描顯示完嗎,子程序返回,再判斷秒的 10 位計(jì)數(shù)單元是否到 6,若不是則中斷返回;若是則將秒的十位清零,分計(jì)數(shù)單元加 1。程序設(shè)計(jì)流程圖如圖 4-4 所示。 12開(kāi)始將a中內(nèi)容壓入堆棧,單元43h中的內(nèi)容加1y中斷了2次嗎?n中斷次數(shù)單元清零,毫秒計(jì)數(shù)單元值加1毫秒計(jì)數(shù)單元等于10嗎毫秒計(jì)數(shù)單元清零,秒的個(gè)位計(jì)數(shù)單元值加1秒的各位等于10嗎秒計(jì)數(shù)單元清零,分的計(jì)數(shù)單元值加1秒的十位等于6嗎、中斷返回秒十位計(jì)數(shù)單元清零,分的計(jì)數(shù)單元

29、值加1nnnyyy圖 4.4 中斷程序流程圖13第第 5 5 章章 測(cè)試及仿真結(jié)果測(cè)試及仿真結(jié)果proteus 仿真測(cè)試結(jié)果及分析如下圖示:當(dāng)按了啟動(dòng)按鈕后,系統(tǒng)計(jì)時(shí)開(kāi)始,如下圖所示:當(dāng)按了復(fù)位時(shí),記時(shí)清零,如圖所示:運(yùn)行測(cè)試結(jié)果證明,系統(tǒng)能夠正常運(yùn)行,達(dá)到了設(shè)計(jì)的目的。14第第 6 6 章章 結(jié)語(yǔ)結(jié)語(yǔ)本次以單片機(jī)為核心,跟其他相關(guān)元器件相結(jié)合再編寫(xiě)相應(yīng)的軟件,實(shí)現(xiàn)了秒表的功能,測(cè)試結(jié)果表明,系統(tǒng)能夠正常工作,達(dá)到了之前的想法。通過(guò)這次的論文設(shè)計(jì),我對(duì)單片機(jī)有了更深一層次的理解,對(duì)單片機(jī)的硬件設(shè)計(jì)以及軟件設(shè)計(jì)還有單片機(jī)系統(tǒng)的工作原理有了更高一層次的認(rèn)識(shí)。這次設(shè)計(jì),是我第一次編寫(xiě)較大的程序內(nèi)容,

30、在編寫(xiě)程序中,遇到了很多的困難,并及時(shí)向同學(xué)、學(xué)長(zhǎng)、老師進(jìn)行了請(qǐng)教,都一一解決了問(wèn)題,在今后的學(xué)習(xí)和實(shí)踐中也將能夠運(yùn)用這些知識(shí)和技能。在編寫(xiě)程序過(guò)程中,我將課本上學(xué)到的知識(shí)與實(shí)際相結(jié)合,解決了很多的問(wèn)題,把程序分成多個(gè)部分,然后對(duì)每個(gè)部分分別編寫(xiě)程序,探后一一調(diào)試,如果越到困難,則仔細(xì)思考、翻閱書(shū)籍課本查閱資料,或者向同學(xué)請(qǐng)教,嚴(yán)格謹(jǐn)慎的分析,一定能夠解決困難,這次設(shè)計(jì)也讓我更清醒的認(rèn)識(shí)到自己的知識(shí)量太少了,自身有很多的不足,欠缺。學(xué)到的東西也不能靈活運(yùn)用,基礎(chǔ)差,今后,自己應(yīng)該更加嚴(yán)格要求自己,努力學(xué)習(xí)提升自己的綜合水平與能力。 15參考文獻(xiàn)參考文獻(xiàn)1馬爭(zhēng).微計(jì)算機(jī)與單片機(jī)原理及應(yīng)用,北京:

31、高等教育出版社,2009 2楊素行.模擬電子技術(shù)基礎(chǔ)簡(jiǎn)明教程,第三版.北京:高等教育出版社,2006 3郭天翔.新概念51單片機(jī)c語(yǔ)言教程,北京:電子工業(yè)出版社,2009 4張?zhí)旆?完全手冊(cè)51單片機(jī)c語(yǔ)言開(kāi)發(fā)詳解,北京:電子工業(yè)出版社,2008 5胡翔駿.電路分析,北京:高等教育出版社,2002 6張毅剛.彭喜元.單片機(jī)原理與應(yīng)用設(shè)計(jì),北京:電子工業(yè)出版社,2004 7張毅剛.單片機(jī)原理及應(yīng)用,北京:高等教育出版社,2006 8張毅坤.單片機(jī)微型技術(shù)原理及應(yīng)用第二版.西安:西安電子科技大學(xué)出版社,2009 9李全利,遲榮強(qiáng).單片機(jī)原理及接口技術(shù),北京:高等教育出版社,2008 10康華光.電

32、子技術(shù)基礎(chǔ).北京:高等教育出版社,2001 11閻石.模擬電子技術(shù)基礎(chǔ).北京:高等教育出版社,2001 12陳光東.單片機(jī)微型計(jì)算機(jī)原理及其c語(yǔ)言程序設(shè)計(jì),武漢:華中科技大學(xué)出版社2004 13楊宇.單片機(jī)與控制技術(shù),北京:北京航空航天大學(xué)出版社,2005 14張?chǎng)?,華臻,陳書(shū)謙,單片機(jī)原理及應(yīng)用,電子工業(yè)出版社,2005.8,15楊光友,朱宏輝,單片微型計(jì)算機(jī)原理及接口技術(shù),水利水電出版社2002.9 ,16楊文龍,單片機(jī)原理及應(yīng)用,西安電子科技大學(xué)出版社1993,6, 第1 版 17夏路易,石宗義,電路原理圖與電路板設(shè)計(jì)教程北京希望電子工業(yè)出版2002 18毛謙敏.單片機(jī)原理及應(yīng)用設(shè)計(jì)系統(tǒng)

33、m北京:國(guó)防工業(yè)出版社,2008 16致致 謝謝時(shí)光總是在回首時(shí)才發(fā)現(xiàn)它的短暫,真情總是在彼此相處中才體現(xiàn)它的可貴!回首三年的求學(xué)之路,不僅在知識(shí)上有了更多的沉淀,更結(jié)交了我人生中很多的貴人,他們是我的師長(zhǎng),是我的同窗,是我的學(xué)長(zhǎng)。是他們?cè)谖覠o(wú)助時(shí)給我?guī)椭谖裔葆鍟r(shí)給我指引,在我?jiàn)^力向前時(shí)給我前行的力量!為自己出生社會(huì)打下了堅(jiān)實(shí)的基礎(chǔ)。感謝學(xué)校的培養(yǎng),為我們插上理想的翅膀,助力我們揚(yáng)帆遠(yuǎn)航!感謝所有在這里關(guān)心我和幫助我的師長(zhǎng)、和同學(xué)!在攻校期間,我也得到了所有任課老師的幫助,對(duì)此表示衷心的謝意。感謝我的師弟師妹們對(duì)我在學(xué)習(xí)與論文寫(xiě)作過(guò)程中的幫助和支持,同時(shí)也感謝所有關(guān)心和幫助過(guò)我的其他老師同

34、學(xué)!特別感謝我的父母,感謝他們對(duì)我求學(xué)生涯的支持和鼓勵(lì)!最后感謝周老師,他抽出珍貴時(shí)間為我評(píng)審論文,在此特向他表示感謝!17附 錄 一 /*秒表*/ #include #define uchar unsigned char #define uint unsigned int sbit key1=p32; sbit key2=p33; sbit t=p34; sbit buzzer=p12; /*不帶小數(shù)點(diǎn)數(shù)碼管數(shù)值*/ uchar code table= 0 x3f,0 x06,0 x5b,0 x4f,0 x66, 0 x6d,0 x7d,0 x07,0 x7f,0 x6f, ; /*帶小數(shù)點(diǎn)

35、數(shù)碼管數(shù)值*/ uchar code apple= 0 xbf,0 x86,0 xdb,0 xcf,0 xe6, 0 xed,0 xfd,0 x87,0 xff,0 xef, ; /*組別顯示*/ uchar code group= 18 0 x06,0 x5b,0 x4f,0 x66,0 x6d, ; uint a,x; static int b, num,num1,num2,num3,num4; static int num_1,num1_1,num2_1,num3_1,num4_1; static int num_2,num1_2,num2_2,num3_2,num4_2; void d

36、elay(uint z); void buzzer(); void display_1(); void display_2(); void display_3(); void main() t2mod=0; t2con=0; rcap2h=(65536-10000)/256; rcap2l=(65536-10000)%256; th2=rcap2h; tl2=rcap2h; ea=1; et2=1; tr2=0; ex1=1; it1=1; ex0=1; it0=1; while(1) 19 if(b=0) for(x=0;x11;x+) display_1(); ; if(b=1) for(

37、x=0;x11;x+) display_2(); ; if(b=2) for(x=0;x11;x+) display_3(); ; if(tr2=0&t=0) b+; while(!t) , if(b=0) for(x=0;x11;x+) display_1(); ; if(b=1) for(x=0;x11;x+) 20 display_2(); ; if(b=2) for(x=0;x11;x+) display_3(); ; if(b=3) for(x=0;x11;x+) display_1(); b=0; ; void display_1() p0=tablenum%10; p2=

38、7; delay(50); p0=tablenum/10; p2=6; delay(50); p0=applenum1; 21 p2=5; delay(50); p0=tablenum2; p2=4; delay(50); p0=applenum3; p2=3; delay(50); p0=tablenum4; p2=2; delay(50); p0=0 x40; p2=1; delay(50); p0=groupb; p2=0; delay(50); if(num=100) num=0; num1+; buzzer=0; delay(1); buzzer=1; if(num1=10) 22

39、num1=0; num2+; if(num2=6) um=0; num1=0; num2=0; num3+; buzzer(); if(um3=10) num3=0; num4+; if(num4=6) num=0; num1=0; num2=0; num3=0; num4=0; void display_2() 23 p0=tablenum_1%10; p2=7; delay(50); p0=tablenum_1/10; p2=6; delay(50); p0=applenum1_1; 附錄 p2=5; delay(50); p0=tablenum2_1; p2=4; delay(50); p0=applenum3_1; p2=3; delay(50); p0=tablenum4_1; p2=2; delay(50); p0=0 x40; p2=1; delay(100); p0=groupb; p2=0; delay(50); if(num_1=100) num_1=0; num1_1+; buzzer=0; 24 delay(1); buzzer=1; if(num1_1=10) num1_1=0; num2_1+; if(num2_1=6) num_1=0; , num1_1=0; num2_1=0; num3_1+; buzzer(); i

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論