單片機基于297298的步進電機驅(qū)動器_第1頁
單片機基于297298的步進電機驅(qū)動器_第2頁
單片機基于297298的步進電機驅(qū)動器_第3頁
單片機基于297298的步進電機驅(qū)動器_第4頁
單片機基于297298的步進電機驅(qū)動器_第5頁
已閱讀5頁,還剩11頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、編號: 單片機實訓(xùn) (論文)說明書題 目: 步進電機控制器 院 (系): 信息與通信學(xué)院 專 業(yè): 電子信息工程 學(xué)生姓名: 學(xué) 號: 0901130324 指導(dǎo)教師: 符強 嚴素清 2012 年 01 月 03 日16摘要步進電動機是一種將電脈沖信號轉(zhuǎn)換成角位移或線位移的精密執(zhí)行元件,具有快速起動和停止的特點。其驅(qū)動速度和指令脈沖能嚴格同步,具有較高的重復(fù)定位精度, 并能實現(xiàn)正反轉(zhuǎn)和平滑速度調(diào)節(jié)。它的運行速度和步距不受電源電壓波動及負載的影響, 因而被廣泛應(yīng)用于數(shù)模轉(zhuǎn)換、速度控制和位置控制系統(tǒng)。本文在分析了步進電機的驅(qū)動特性、斬波恒流細分驅(qū)動原理和混合式步進電機驅(qū)動芯片l297l298的性能

2、、結(jié)構(gòu)的基礎(chǔ)上,結(jié)合at89c52單片機,設(shè)計出了混合式步進電機驅(qū)動電路。關(guān)鍵詞:步進電機;at89c52單片機;l297/l298驅(qū)動。abstractstepping motors is a kind of will convert angular displacement or electrical impulses signal line displacement of precision actuator, have fast start and stop characteristics. the driving speed and instructions pulse can st

3、rictly synchronization, which has high repositioning precision, and can realize the positive &negative and smooth adjustable speed. its operation speed and step distance from supply voltage fluctuation and load effect, which have been widely applied in analog-to-digital conversion, speed control

4、 and the position control system. based on the analysis of the stepper motor driving characteristics, a chopper constant-current subdivided driving principle and hybrid stepping motor drive chip l297 / l298 the performance, structure in the foundation, the union at89c52 single chip computer, designe

5、d a hybrid stepping motor driver circuit. key words: stepping motor; at89c52 single chip computer; l297 / l298 driver. 目 錄第一章 緒論11.1課題背景11.2設(shè)計目的與意義11.3選擇方案11.3.1步進電機概述11.3.2步進電機工作原理 21.3.3控制方案 3第二章 步進電機驅(qū)動器42.1驅(qū)動器的選擇42.2.1 l297l298驅(qū)動特性分析 42.1.2 l297298功能分析 42.2 驅(qū)動器的芯片連接 6第三章 單片機電路83.1步進電機控制器83.1.1脈沖產(chǎn)

6、生電路83.2加速減速控制103.3顯示及鍵盤電路123.4細分驅(qū)動123.5程序設(shè)計13第四章 總結(jié)16參考文獻17附錄:原理圖 第一章 緒論1.1課題背景步進電機以其獨特的特點可以在無速度傳感器和無位置傳感器系統(tǒng)中實現(xiàn)精確的開環(huán)狀態(tài)定位或同步運行。我們通過調(diào)節(jié)發(fā)送給步進電機的步進脈沖個數(shù)來實現(xiàn)精確的位移或者角度定位,而調(diào)節(jié)發(fā)送的步進脈沖頻率就可以實現(xiàn)速度調(diào)節(jié),這些都有利裝置或設(shè)備的小型化和低成本,因而在眾多領(lǐng)域中得到廣泛的應(yīng)用。步進電機的使用性能與它的驅(qū)動電路有密切的關(guān)系,隨著電子技術(shù)的發(fā)展 ,使步進電機的控制電路和功率驅(qū)動電路發(fā)生了很大變化,特別是集成電路的推廣和微機的普及應(yīng)用,更使步進

7、電機驅(qū)動電源的研制上了一個新臺階,使其性能指標有了顯著的提高。國內(nèi)對這方面的研究一直很活躍,但是可供選用的高性能的步進電機驅(qū)動電源卻很少,而且國內(nèi)的驅(qū)動電源方面基本都存在著體積大、外形尺寸不規(guī)則、性能指標不穩(wěn)定及遠沒有達到系列化等問題,這就給驅(qū)動電源的選用和安裝帶來了極大的不便,國外雖然有通用的各種類型的步進電機驅(qū)動電源,但大都存在一些問題,如價格昂貴,與我國的系統(tǒng)連接不匹配等問題。如前所述,步進電機伺服系統(tǒng)的性能,不僅與步進電機本體的特性有關(guān),而且還與步進電機的控制方式、驅(qū)動電源的特性及負載特性有著密切的關(guān)系,特別是驅(qū)動電源技術(shù)方面,對步進電機運行性能的改善,如高頻力矩的提高,步距分辨率的提

8、高,單步振蕩及振動的消除等方面起著至關(guān)重要的作用。1.2設(shè)計的目的與意義掌握步進電機的工作原理及控制方法,本次設(shè)計任務(wù)要完成的目標是:利用單片機控制實現(xiàn)步進電機的啟停、正轉(zhuǎn)、反轉(zhuǎn)、加速、減速、實現(xiàn)步進電機的細分控制、控制器滿足步進電機的幅頻特性等功能。1.3選擇方案1.3.1步進電機的概述步進電機是一種將電脈沖信號轉(zhuǎn)換為角位移的執(zhí)行機構(gòu),由步進電機及其功率驅(qū)動裝置構(gòu)成一個開環(huán)的定位運動系統(tǒng)。當步進驅(qū)動器接收到一個脈沖信號,它就驅(qū)動步進電機按設(shè)定的方向轉(zhuǎn)動一個固定的角度(即步距角)。脈沖輸入越多,電機轉(zhuǎn)子轉(zhuǎn)過的角度就越多,輸入脈沖的頻率越高,電機的轉(zhuǎn)速就越快。因此可以通過控制脈沖個數(shù)來控制角位移

9、量,從而達到準確定位的目的;同時可以通過控制脈沖頻率來控制電機轉(zhuǎn)動的速度,從而達到調(diào)速的目的。步進電機種類,根據(jù)自身的結(jié)構(gòu)不同,可分為常用三大類:反應(yīng)式(vr,也稱磁阻式)、永磁式(pm)、混合式(hb)。其中混合式步進電機兼有反應(yīng)式和永磁式的優(yōu)點,它的應(yīng)用越來越廣泛。1.3.2步進電機工作原理 圖1 是一個四相磁阻式步進電機的結(jié)構(gòu)示意圖,該電機定子上有8 個凸齒,相距180°的兩個凸齒構(gòu)成一相,每一相上的線圈反相連接,這樣8 個齒就構(gòu)成四相,aa1、bb1、cc1、dd1,因此稱為四相步進電機1。圖1 四相磁阻型的步進電機結(jié)構(gòu)示意圖當有一相繞組被勵磁時,磁通從正相齒,經(jīng)過軟鐵芯的轉(zhuǎn)

10、子,并以最短的路徑流向負相齒,而其他的六個凸齒并無磁通。為使磁通路徑最短,在磁場力的作用下,轉(zhuǎn)子被迫轉(zhuǎn)動,使最近的一對齒與被勵磁的一相對準。在圖1 的a 圖中b 相被勵磁,轉(zhuǎn)子與b相對準。在這個位置上,再對a 相進行勵磁,則轉(zhuǎn)子在磁場作用下順時針轉(zhuǎn)過15°,如圖1 的b 圖所示,這樣步進電機就轉(zhuǎn)過了一個步距角。繼續(xù)對c 相進行勵磁,轉(zhuǎn)子在磁場的作用下進一步順時針轉(zhuǎn)過15°,到達c 圖所示的位置,又轉(zhuǎn)過了一個步距角。再對d 相進行勵磁,又產(chǎn)生了一個新的磁場,在磁力的作用下轉(zhuǎn)子又轉(zhuǎn)過一個步距角15°。這樣步進電機的四相完成一個通電循環(huán),若要繼續(xù)轉(zhuǎn)動,就繼續(xù)順次勵磁,即

11、步進電機按照abcda順序順次勵磁,那么電機就不停地轉(zhuǎn)動;若要電機反轉(zhuǎn),只需要改變電機的勵磁順序,按照adcba的次序勵磁即可。一般對步進電機采用半步驅(qū)動,即四相八拍工作方式,使步進電機每次勵磁轉(zhuǎn)過1 /2 的步距角,即每次改變勵磁方式步進電機轉(zhuǎn)過7.5°,它的勵磁方式是aabbbcccdddaa,若要反轉(zhuǎn)也是只需改變勵磁方式即可,即按照aadddcccbbbaa,采用八拍工作方式使得電機的轉(zhuǎn)動更加穩(wěn)定,也進一步增強了步進電機的控制精度。改變控制繞組數(shù)(相數(shù))或極數(shù)(轉(zhuǎn)子齒數(shù)),可以改變步長的大小。它們之間的相互關(guān)系,可由下式計算: l =3600 /(p×n×c

12、) 式中:l為步長;p為相數(shù);n為轉(zhuǎn)子齒數(shù);c為通電方式。在圖1中,步長為150,表示電機轉(zhuǎn)一圈需要24步。1.3.3 控制方案步進電機是數(shù)字控制電機,它將脈沖信號轉(zhuǎn)變成角位移,即給一個脈沖信號,步進電機就轉(zhuǎn)動一個角度,因此非常適合于單片機控制2。步進電機最大特點是,它是通過輸入脈沖信號來進行控制的,即電機的總轉(zhuǎn)動角度由輸入脈沖數(shù)決定,而電機的轉(zhuǎn)速由脈沖信號頻率決定,步進電動機開環(huán)控制系統(tǒng)組成如圖2。在本文中我們選用的步進電機為四相八拍混合式步進電機57byg450,參數(shù)具體如下:電壓12v,電流0.45a,步距角1.80/步,空載啟動頻率1200步/秒,空載運行頻率20步/秒,轉(zhuǎn)動慣量0.1

13、35kg/cm2。單片機 驅(qū)動器及細分步進電機lcd顯示鍵盤輸入 圖2 步進電動機開環(huán)控制系統(tǒng)組成第二章 步進電機驅(qū)動器2.1驅(qū)動器的選擇混合式步進電機廣泛應(yīng)用于數(shù)控機床、機器人、遙控、航天等領(lǐng)域,特別是微型計算機和微電子技術(shù)的發(fā)展,使步進電機獲得了更為廣泛的應(yīng)用。但其步距角較大、分辨率低、易發(fā)熱等缺點往往滿足不了工業(yè)上的精確定位和大扭矩控制。為解決上述問題,本電路采用混合式步進電機驅(qū)動芯片l297l298。2.1.1 l297l298驅(qū)動特性分析一般情況下,步進電機根據(jù)環(huán)形分配器決定分配方式,各繞組的電流輪流切換,從而使步進電機的轉(zhuǎn)子步進旋轉(zhuǎn)。步距角的大小只有兩種,即整步工作和半步工作,而步

14、距角已由電機的結(jié)構(gòu)確定。如果在每次輸入脈沖切換時。不是將繞組電流全部通入或關(guān)斷,只改變相應(yīng)繞組中的額定電流的一部分,則轉(zhuǎn)子相應(yīng)的每步轉(zhuǎn)動原有步距角的一部分,而額定電流分成多少次進行切換,轉(zhuǎn)子就以多少步完成一個原有的步距角。這種將一個步距角細分成若干步的驅(qū)動方法即為細分驅(qū)動3。同時,在步進電機每相繞組通電周期中,常用的驅(qū)動方法采用恒定電流值驅(qū)動,該方法在驅(qū)動大力矩負載時往往發(fā)熱現(xiàn)象嚴重。為了解決上述問題,提出了斬波恒流驅(qū)動方法,在斬波恒流電路中,采用高電壓驅(qū)動,電機繞組回路不串聯(lián)電阻,這樣電流上升的速度會很快。同時在電路中設(shè)置采樣電阻,在繞組電流達到額定值時,由于采樣電阻的反饋作用,通過比較器使

15、電源電壓工作在關(guān)斷狀態(tài),從而使繞組電流保持在額定值附近內(nèi)波動。由于電源電壓并不是一直向繞組供電,而只是一個個窄脈沖,總的輸入能量是各脈沖時間的電壓與電流乘積的積分,取自電源的能量大幅度下降,具有很高的效率,降低了發(fā)熱量。在驅(qū)動器中采用將細分和斬波恒流驅(qū)動結(jié)合技術(shù),電機內(nèi)電流波形圖如圖3(b)。2.2 l297l298功能分析l297單片步進電動機控制器集成電路適用于雙極性兩相步進電動機或單極性四相步進電動機的控制。用l297輸出信號可控制l298雙h橋驅(qū)動集成電路,用來驅(qū)動 (a)普通方法驅(qū)動 (b)斬波恒流細分驅(qū)動圖3 驅(qū)動電路電流波形電壓為12v,每相電流為0.45a以下的步進電動機。l2

16、97也可用來控制由達林頓晶體管組成的分立電路,驅(qū)動更高電壓、更大電流的步進電動機。此器件的特性是只需要時鐘、方向和模式輸入信號。相位是由內(nèi)部產(chǎn)生的,因此可減輕微處理機和程序設(shè)計的負擔(dān)。此芯片是具有20個引出腳的雙列直插式塑膠封裝的器件,采用固定斬波頻率的pwm恒流斬波方式工作。l297主要由譯碼器、兩個固定斬波頻率的pwm恒流斬波器以及輸出邏輯控制組成,工作原理分述如下:l297的核心是脈沖分配器,它產(chǎn)生三種相序信號,對應(yīng)于三種不同的工作方式:即半步方式(half step);基本步距(full step,整步)一相激勵方式;基本步距兩相激勵方式。脈沖分配器內(nèi)部是一個3bit可逆計數(shù)器,加上一

17、些組合邏輯,產(chǎn)生每周期8步格雷碼時序信號,這也就是半步工作方式的時序信號。此時half/full信號為高電平。若half/full取低電平,得到基本步距工作方式,即4步工作方式。 l297另一個重要組成是由兩個pwm斬波器來控制相繞組電流,實現(xiàn)恒流斬波控制以獲得良好的轉(zhuǎn)矩-頻率特性。每個斬波器由一個比較器,一個rs觸發(fā)器和外接采樣電阻組成,并設(shè)有一個公用振蕩器,向兩個斬波器提供觸發(fā)脈沖信號。圖4中,頻率f,是由外接16腳的rc網(wǎng)絡(luò)決定的,當r>10k時。f=1/0.69rc,當時鐘振蕩器脈沖使觸發(fā)器置l,電機繞組相電流上升,采樣電阻的rs電壓上升到基準電壓vref時,比較器翻轉(zhuǎn)。使觸發(fā)器

18、復(fù)位,功率晶體管關(guān)斷,電流下降,等待下一個振蕩脈沖的到來。這樣觸發(fā)器輸出的是恒頻pwm信號,調(diào)制l297的輸出信號,繞組相電流峰值由pref整定。圖4 l297內(nèi)部斬波器電路示意圈2.2 驅(qū)動器的芯片連接l297和l298的芯片及其管腳功能如下圖5所示,l297和l28的組合控制驅(qū)動的應(yīng)用電路圖如圖6。 圖5 l297/l298的芯片圖圖6 l298和l297的組合電路圖l297和l298的組合驅(qū)動控制的真值表如下:勵磁方式勵磁相 (a相)(b相)(相)(相)四相八拍混合式步進電機a1000ab1100b0100bc0110c0010cd0011d0001da1001第3章 單片機控制電路3.

19、1步進電機控制器at89c52是美國atmel的低電壓、高性能8位cmos單片機。片內(nèi)置8k字節(jié)可重復(fù)擦寫的flash閃速存儲器,256字節(jié) ram。3個16位定時器,可編程串行uart通道。對完成步進電機的簡單控制已足以勝任4。 本控制器主要由at89c52單片機晶振電路、3.1.1步進脈沖產(chǎn)生電路在采用單片機的步進電機開環(huán)系統(tǒng)中,控制系統(tǒng)的 cp脈沖的頻率或換向周期實際上是控制步進電機的運行速度。系統(tǒng)可用兩種辦法實現(xiàn)步進電機的速度控制:一種是延時,一種是定時。延時方法是在每次換向之后調(diào)用一個延時子程序,待延時結(jié)束后再次執(zhí)行換向,這樣周而復(fù)始就可發(fā)出一定頻率的cp脈沖或換向周期。延時子程序的

20、延時時間與換圖8 步進電機速度控制器設(shè)計向程序所用的時間和就是cp脈沖的周期 。該方法簡單,占用資源少,全部由軟件實現(xiàn),調(diào)用不同的子程序就可實現(xiàn)不同速度的運行;但占用cpu時間長,不能在運行時處理其他工作,因此只適合較簡單的控制過程。定時方法是利用單片機系統(tǒng)中的定時器定時功能產(chǎn)生任意周期的定時信號,從而可方便的控制系統(tǒng)輸出cp脈沖周期。當定時器起動后,定時器從裝載的初值開始對系統(tǒng)及其周期進行加計數(shù);當定時器溢出時,定時器產(chǎn)生中斷,系統(tǒng)轉(zhuǎn)去執(zhí)行定時中斷子程序。將電機換向子程序放在定時中斷服務(wù)程序中,定時中斷一次,電機換向一次,從而實現(xiàn)電機的速度控制。由于從定時器裝載完重新起動開始至定時器申請中斷

21、止,有一定的時間間隔,造成定時時間增加。為了減少這種定時誤差,實現(xiàn)精確定時,要對重裝的計數(shù)初值作適當調(diào)整。調(diào)整的重裝初值主要考慮兩個因素:一是中斷響應(yīng)所需的時間;二是重裝初值指令所占用的時間,包括在重裝初值前中斷服務(wù)程序中的其他指令因素。綜合這兩個因素后,重裝計數(shù)初值的修正量取8個機器周期,即要使定時時間縮短8個機器周期。在定時中斷方式控制電動機變速時,實際上是不斷改變定時器裝載值的大小。在 控制過程中,采用離散辦法逼近理想升降速曲線。為 了減少每步計算裝載值的時間,系統(tǒng)設(shè)計時就把各離散點的速度所需的裝載值固化在系統(tǒng)的rom中,系統(tǒng)在運行中 用查表法查出所需的裝載值,這樣可大幅減少占用cpu的

22、時間,提高系統(tǒng)的響應(yīng)速度。其流程圖如下:3.2 步進電機起動及加/減速控制方案 步進電動機的最高起動頻率(突跳頻率)一般為0.1khz到3-4khz,而最高運行頻率則可以達到n*102 khz.以超過最高起動頻率的頻率直接起動,將出現(xiàn)"失步"現(xiàn)象,甚至無法起動.較為理想的起動曲線,應(yīng)是按指數(shù)規(guī)律起動.但實際應(yīng)用對起動段的處理可采用按直線擬合的方法,即"階梯升速法".可按兩種情況處理,已知突跳頻率則按突跳頻率分段起動,分段數(shù)n=f/fq.未知突跳頻率,則按段擬合至給定的起動頻率,每段頻率的遞增量(后稱階梯頻率)f=f/8,即采用8段擬合.在運行控制過程中,

23、將起始的速度(頻率)分為n分作為階梯頻率,采用"階梯升速法"將速度連續(xù)升到所需要的速度,然后鎖定,按預(yù)置的曲線運行.如圖2所示.用單片機實現(xiàn)步進電機的加/減速控制,實際上就是控制發(fā)脈沖的頻率,升速時,使脈沖頻率增高,減速時相反.如果使用定時中斷來控制電機的速度,加減速控制就是不斷改變定時器的初值.速度從v1v2如果是線性增加,則按給定的斜率升/降速;如果是突變,則按"階梯升速法"處理.在此過程中要處理好兩個問題: 3.2.1速度轉(zhuǎn)換時間應(yīng)盡量短 為了縮短速度轉(zhuǎn)換的時間,可以采用建立數(shù)據(jù)表的方法.,結(jié)合各曲線段的頻率和各段間的階梯頻率便可以建立一個連續(xù)的數(shù)

24、據(jù)表,并通過轉(zhuǎn)換程序?qū)⑵滢D(zhuǎn)換為定時初值表.通過在不同的階段調(diào)用相應(yīng)的定時初值,控制電機的運行.定時初值的計算是在定時中斷外實現(xiàn)的,并不占用中斷時間,保證電機的高速運行.3.2.2保證控制速度的精確性 要從一個速度準確達到另外一個速度,就要建立一個校驗機制,以防超過或未達到所需速度.3.2.3步進電機的換向問題 步進電機換向時,一定要在電機降速停止或降到突跳頻率范圍之內(nèi)再換向,以免產(chǎn)生較大的沖擊而損壞電機.換向信號一定要在前一個方向的最后一個cp脈沖結(jié)束后以及下一個方向的第一個cp脈沖前發(fā)出.如圖3所示.對于cp脈沖的設(shè)計主要要求其有一定的脈沖寬度(一般不小于5s)、脈沖序列的均勻度及高低電平方

25、式. 在某一高速下的正、反向切換實質(zhì)包含了降速換向升速三個過程.32.4速度與定時器初值的轉(zhuǎn)換 本系統(tǒng)的速度控制是依靠定時產(chǎn)生cp脈沖來完成的,設(shè)定的速度與產(chǎn)生cp脈沖的定時器初值間存在一定關(guān)系.c51定時器的工作方式有多種,本設(shè)計定時器工作在連續(xù)方式下.在連續(xù)模式,定時器從它的當前值開始計數(shù),當計到0ffh后又從"0"開始重新計數(shù).在該方式下,將定時器的當前值和比較寄存器ccrx相比較,如相等則產(chǎn)生中斷,并在該中斷服務(wù)程序中可以將下一個事件發(fā)生的時間加到比較寄存器ccrx上,如圖4,如此這樣便會得到連續(xù)的定時時間間隔,并在每一個定時間隔到來產(chǎn)生中斷請求.定時初值=所需定時

26、值/計數(shù)周期;對于步進電機其速度值常以頻率形式給定,諸如運行在20khz下,因此上式可轉(zhuǎn)換為:定時初值=計數(shù)頻率/速度值.(其中計數(shù)頻率為系統(tǒng)時鐘頻率)3.3 顯示及鍵盤電路:3.4 細分電路的設(shè)計針對上述兩種現(xiàn)有的細分技術(shù)狀況,本論文的目的在于: (1)、從理論和實踐的角度,建立一種新的步進電動機高精度細分方法和數(shù)學(xué)模型,以消除現(xiàn)有技術(shù)方案中不可克服的滯后角值所引起的問題,使細分技術(shù)提高到更高的水平。 (2)、在新原理方法指導(dǎo)下設(shè)計相應(yīng)的硬件控制電路。 (3)、設(shè)計細分控制函數(shù)修正的電路及其相應(yīng)的計算機自動控制程序。本電路的構(gòu)思及技術(shù)解決方案敘述如下: 為了實現(xiàn)高精度的定位,對步進電機步距進

27、行高分辨細分的關(guān)鍵,也是本系統(tǒng)的難點所在。從以上的分析可知,步進電動機的細分驅(qū)動電路都是通過電流合成矢量的旋轉(zhuǎn)來實現(xiàn)的。一般的細分方法只改變某一相的電流,該方法的缺陷是電流合成矢量的幅值是不斷變化的,使步進電機的傳距亦隨之變化,從而引起滯后角的變化,最終就影響可細分數(shù)的增加,即限制了分辨率的提高。 采用現(xiàn)有技術(shù)細分方法時的電流矢量旋轉(zhuǎn)示意圖(圖2.2) :圖2.2 電流矢量旋轉(zhuǎn)示意圖為了能夠從根本上解決這個問題,消除現(xiàn)有技術(shù)中由于滯后角的變化引起的值大于微步距角而導(dǎo)致不可繼續(xù)細分的問題,只有使電流合成矢量 ih 形成新的距角特性曲線,為達到這一點,必須滿足一下兩個條件: (1)、電流合成矢量旋

28、轉(zhuǎn)時每次變化的角度要均勻; (2)、電流合成矢量的大小或幅值要保持不變。 基于這個條件,即可建立“電流矢量恒幅均勻旋轉(zhuǎn)”細分驅(qū)動方法。同時改變兩相電流的大小,使電流合成矢量恒幅均勻旋轉(zhuǎn)。這種方式可稱為步進電機的模擬運行,它是一種基于交流同步電機概念的特殊細分技術(shù), 實質(zhì)是對運行于交流同步電機狀態(tài)的步進電機所受的交流模擬信號在一個周期內(nèi)細分,即每個細分點對應(yīng)于一個交流值。當細分數(shù)相當大時,例如本系統(tǒng)中將一個四分之一周期分成 4096 個點,電機繞組的電流信號就逼近模擬連續(xù)信號。這種細分技術(shù)可以極大地提高步進電機的分辨率和運行穩(wěn)定性。3.5程序設(shè)計在程序的編制中,要特別注意步進電機在換向時的處理。

29、為使步進電機在換向時能平滑過渡,不至于產(chǎn)生錯步,應(yīng)在每一步中設(shè)置標志位。在正轉(zhuǎn)時,不僅給正轉(zhuǎn)標志位賦值,也同時給反轉(zhuǎn)標志位賦值;在反轉(zhuǎn)時也如此。這樣,當步進電機換向時,就可以上一次的位置作為起點反向運動,避免了電機換向時產(chǎn)生錯步。本設(shè)計應(yīng)用單片機和l297、l298集成電路構(gòu)成步進電機控制驅(qū)動器.使用lcd1602做顯示,包括實時速度顯示和狀態(tài)顯示,l297自帶正反轉(zhuǎn)控制功能,1/2細分功能等,單片機主要是產(chǎn)生脈沖,顯示及控制l297的正反轉(zhuǎn),停止,半步全步等。此次編程及調(diào)試是用keil軟件進行的,程序的編寫及調(diào)試步驟如下:1. 根據(jù)步進電機步進電機的相序,編好正反轉(zhuǎn)相序表,這個是關(guān)鍵,不能編

30、錯。2.定義各個變量及相關(guān)液晶顯示符3. 定義各個按鍵:4. 編寫各個子程序。5. 編寫主程序,進入主程序即開始進行鍵盤掃描及調(diào)用液晶顯示。6. 定時器t0的中斷服務(wù)程序,進入中斷,若滿足條件,即向步進電機送脈沖。1602lcd的一般初始化(復(fù)位)過程:脈沖產(chǎn)生:本系統(tǒng)的速度控制是依靠定時產(chǎn)生cp脈沖來完成的,設(shè)定的速度與產(chǎn)生cp脈沖的定時器初值間存在一定關(guān)系.c51定時器的工作方式有多種,本設(shè)計定時器工作在連續(xù)方式下.在連續(xù)模式,定時器從它的當前值開始計數(shù),當計到0ffh后又從"0"開始重新計數(shù).在該方式下,將定時器的當前值和比較寄存器ccrx相比較,如相等則產(chǎn)生中斷,并在該中斷服務(wù)程序中可以將下一個事件發(fā)生的時間加到比較寄存器ccrx上,如圖4,如

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論