畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)的智能交通控制系統(tǒng)_第1頁(yè)
畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)的智能交通控制系統(tǒng)_第2頁(yè)
畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)的智能交通控制系統(tǒng)_第3頁(yè)
畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)的智能交通控制系統(tǒng)_第4頁(yè)
畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)的智能交通控制系統(tǒng)_第5頁(yè)
已閱讀5頁(yè),還剩43頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、基于單片機(jī)的智能交通控制系統(tǒng)基于單片機(jī)的智能交通控制系統(tǒng)摘摘 要要交通控制系統(tǒng)是近現(xiàn)代社會(huì)隨著物流、出行等交通發(fā)展產(chǎn)生的一套獨(dú)特的公共管理系統(tǒng)。要保證高效安全的交通秩序,除了制定一系列的交通規(guī)則,還必須通過(guò)一定的科技手段加以實(shí)現(xiàn)。本文在對(duì)目前交通控制進(jìn)行深入分析的基礎(chǔ)上,運(yùn)用檢測(cè)傳感、實(shí)時(shí)調(diào)整智能化控制的實(shí)現(xiàn)技術(shù),將傳感器監(jiān)測(cè)、實(shí)時(shí)調(diào)整車(chē)輛通行時(shí)間的算法與單片機(jī)控制作用相結(jié)合,提出了基于單片機(jī)的交通控制系統(tǒng)設(shè)計(jì)方案。8051 單片機(jī)的交通燈控制系統(tǒng)由 8051 單片機(jī)、交通燈顯示、led 倒計(jì)時(shí)、車(chē)流量檢測(cè)及調(diào)整、違規(guī)檢測(cè)、緊急處理、時(shí)間模式手動(dòng)設(shè)置等模塊組成。系統(tǒng)除基本交通燈功能外,還具有通

2、行時(shí)間手動(dòng)設(shè)置、可倒計(jì)時(shí)顯示、急車(chē)強(qiáng)行通過(guò)、車(chē)流量檢測(cè)及調(diào)整、交通異常狀況判別及處理等相關(guān)功能。理論證明該系統(tǒng)能夠簡(jiǎn)單、經(jīng)濟(jì)、有效地疏導(dǎo)交通,提高交通路口的通行能力。本設(shè)計(jì)主要做了如下幾方面的工作:一是確定系統(tǒng)交通控制的總體設(shè)計(jì),包括,十字路口具體的通行禁行方案設(shè)計(jì)以及系統(tǒng)應(yīng)擁有的各項(xiàng)功能,二是進(jìn)行傳感器的硬件電路、顯示電路等的設(shè)計(jì)和基本功能要求。關(guān)鍵詞:關(guān)鍵詞:交通控制,傳感檢測(cè),at89s51,倒計(jì)時(shí)顯示abstracttraffic control system is a modern society with logistics, travel etc of traffic devel

3、opment a unique set of public management system. to ensure the effective safety traffic, except for a series of traffic rules, still must through certain technological means to achieve. based on analysis of traffic control, based on real-time detection sensor, adjust the implementation technology of

4、 intelligent control, real-time monitoring, sensor adjust vehicles time algorithm and single-chip microcomputer control function is proposed, which combines the traffic control system based on single chip design scheme.the 8051 microcontroller control system consists of the traffic lights display, 8

5、051 monolithic integrated circuits, and led the countdown, traffic violation detection, emergency adjustment, manual mode, time as modules. in addition to the basic traffic function outside, still have time to manually set, can pass the countdown, car that forced through traffic, inspection and adju

6、stment, transportation and processing abnormal discriminant functions. theory shows that the system can simple, economic and effective relieves traffic, improve the crossroads capacity.this design mainly do the following aspects: one is the work of the traffic control system design, including the cr

7、ossroads, specific design and system should be restricted with each function, two is that the sensor, the hardware circuit design of the circuit and the basic function and requirement. key words: traffic control, sensing detection, displayand countdown ,at89s51目錄目錄摘 要.iabstract.ii1 緒 論 .11.1 單片機(jī)交通控制

8、系統(tǒng)的選題背景.11.2 單片機(jī)交通控制系統(tǒng)選題的現(xiàn)實(shí)意義.11.3 國(guó)內(nèi)外研究現(xiàn)狀及其發(fā)展.31.3.1 國(guó)內(nèi)外交通控制技術(shù).31.3.2 交通控制存在的問(wèn)題.61.4 單片機(jī)交通控制系統(tǒng)主要研究的內(nèi)容.72 單片機(jī)交通控制系統(tǒng)總體設(shè)計(jì).82.1 單片機(jī)交通控制系統(tǒng)的通行方案設(shè)計(jì).82.2 單片機(jī)交通控制系統(tǒng)的功能要求.92.3 單片機(jī)交通控制系統(tǒng)的基本構(gòu)成及原理.113 系統(tǒng)硬件電路的設(shè)計(jì).123.1 系統(tǒng)硬件總電路構(gòu)成及原理.123.1.1 系統(tǒng)硬件電路構(gòu)成.123.1.2 系統(tǒng)工作原理.133.2 at89s51 單片機(jī)簡(jiǎn)介.133.2.1 單片機(jī)的概述.133.2.2 at89s51

9、 芯片內(nèi)部結(jié)構(gòu)簡(jiǎn)介.143.2.3 主要引腳功能 .163.2.4 at89s51 芯片最小系統(tǒng).183.3 其它硬件介紹及連接.193.3.1 車(chē)流量檢測(cè)電路及模擬.193.3.2 違規(guī)檢測(cè)電路及模擬.223.3.3 八段 led 數(shù)碼管.233.3.4 其它器件.254 系統(tǒng)軟件程序的設(shè)計(jì)系統(tǒng)軟件程序的設(shè)計(jì) .294.1 程序主體設(shè)計(jì)流程.294.2 理論基礎(chǔ)知識(shí).314.2.1 定時(shí)器原理.314.2.2 軟件延時(shí)原理.314.2.3 中斷原理.324.2.4 消抖動(dòng)程序.32結(jié)結(jié) 論論 .33致致 謝謝 .34參考文獻(xiàn)參考文獻(xiàn) .35附錄附錄 英文文獻(xiàn)資料及翻譯英文文獻(xiàn)資料及翻譯 .3

10、61 緒緒 論論1.1 單片機(jī)交通控制系統(tǒng)的選題背景隨著人口快速的增多,交通工具的爆炸性的發(fā)展,以及道路資源的有限性,交通控制就應(yīng)運(yùn)而生,在人類(lèi)的生活、工作環(huán)境中,交通扮演著極其重要的角色,人們的出行都無(wú)時(shí)不刻與交通打著交道。自 18 世紀(jì)工業(yè)革命以來(lái),工業(yè)發(fā)展帶動(dòng)整個(gè)交通運(yùn)輸?shù)陌l(fā)展,從而催生了單獨(dú)的交通控制學(xué)問(wèn)與管理機(jī)構(gòu)。交通控制系統(tǒng)是近現(xiàn)代社會(huì)隨著物流、出行等交通發(fā)展產(chǎn)生的一套獨(dú)特的公共管理系統(tǒng)。要保證高效安全的交通秩序,除了制定一系列的交通規(guī)則,還必須通過(guò)一定的技術(shù)手段加以實(shí)現(xiàn)。現(xiàn)代人類(lèi)科學(xué)技術(shù),特別是電子科學(xué)技術(shù)的發(fā)展和成熟能比較好的解決系統(tǒng)建立中硬軟件方面要求的技術(shù)難題。目前,交通控

11、制方面的研究能完全實(shí)現(xiàn)自動(dòng)智能化,甚至將整個(gè)區(qū)域整合成一個(gè)統(tǒng)一的系統(tǒng)范圍,還能根據(jù)正常時(shí)段以及特定突發(fā)時(shí)段的情況進(jìn)行科學(xué)的自動(dòng)調(diào)整。交通對(duì)于社會(huì)的工業(yè)經(jīng)濟(jì)和人們的生活生產(chǎn)中有著十分重要的意義。隨著單片機(jī)和傳感技術(shù)的迅速發(fā)展,自動(dòng)檢測(cè)領(lǐng)域發(fā)生了巨大變化,交通自動(dòng)監(jiān)測(cè)控制方面的研究有了明顯的進(jìn)展,并且必將以其優(yōu)異的性能價(jià)格比,逐步取代傳統(tǒng)的交通控制措施。1.2 單片機(jī)交通控制系統(tǒng)選題的現(xiàn)實(shí)意義城市道路交通自動(dòng)控制系統(tǒng)的發(fā)展是以城市交通信號(hào)控制技術(shù)為前導(dǎo),與汽車(chē)工業(yè)并行發(fā)展的。在其各個(gè)發(fā)展階段,由于交通的各種矛盾不斷出現(xiàn),人們總是盡可能地把各個(gè)歷史階段當(dāng)時(shí)的最新科技成果應(yīng)用到交通自動(dòng)控制中來(lái),從而促

12、進(jìn)了交通自動(dòng)控制技術(shù)的不斷發(fā)展。早在 1850 年,城市交叉口處不斷增長(zhǎng)的交通就引發(fā)了人們對(duì)安全和擁堵的關(guān)注。世界上第一臺(tái)交通自動(dòng)信號(hào)燈的誕生,拉開(kāi)了城市交通控制的序幕,1868 年,英國(guó)工程師納伊特在倫敦威斯特敏斯特街口安裝了一臺(tái)紅綠兩色的煤氣照明燈,用來(lái)控制交叉路口馬車(chē)的通行,但一次煤氣爆炸事故致使這種交通信號(hào)燈幾乎銷(xiāo)聲匿跡了近半個(gè)世紀(jì)。1914 年及稍晚一些時(shí)候,美國(guó)的克利夫蘭、紐約和芝加哥才重新出現(xiàn)了交通信號(hào)燈,它們采用電力驅(qū)動(dòng),與現(xiàn)在意義上的信號(hào)燈已經(jīng)相差無(wú)幾。1926 年英國(guó)人第一次安裝和使用自動(dòng)化的控制器來(lái)控制交通信號(hào)燈,這是城市交通自動(dòng)控制的起點(diǎn)。早期的交通信號(hào)燈使用“固定配時(shí)

13、”方式實(shí)行自動(dòng)控制,這種方式對(duì)于早期交通流量不大的情況曾起過(guò)一定的作用。但隨著汽車(chē)工業(yè)的發(fā)展、交通流量增加、隨機(jī)變化增強(qiáng),采用以往那種單一模式的“固定配時(shí)”方式已不能滿(mǎn)足客觀需要,于是一種多時(shí)段多方案的信號(hào)控制器開(kāi)始出現(xiàn)并逐步取代了傳統(tǒng)的只有一種控制方案的控制器。 20 世紀(jì) 30 年代初,美國(guó)最早開(kāi)始用車(chē)輛感應(yīng)式信號(hào)控制器,之后是英國(guó),當(dāng)時(shí)使用的車(chē)輛檢測(cè)器是氣動(dòng)橡皮管檢測(cè)器。車(chē)輛感應(yīng)控制器的特點(diǎn)是它能根據(jù)檢測(cè)器測(cè)量的交通流量來(lái)調(diào)整綠燈時(shí)間的長(zhǎng)短,使綠燈時(shí)間更有效地被利用,減少車(chē)輛在交叉口的時(shí)間延誤,比定時(shí)控制方式有更大的靈活性。車(chē)輛感應(yīng)控制的這一特點(diǎn)刺激了車(chē)輛檢測(cè)器技術(shù)的發(fā)展。繼氣動(dòng)橡皮管式

14、檢測(cè)器之后,雷達(dá)、超聲波、光電、地磁、電磁、微波、紅外以及環(huán)形線(xiàn)圈等檢測(cè)器相繼問(wèn)世。當(dāng)今在城市道路交通自動(dòng)控制、交通監(jiān)測(cè)和交通數(shù)據(jù)采集系統(tǒng)中,應(yīng)用最廣的是環(huán)形線(xiàn)圈車(chē)輛檢測(cè)器。超聲波檢測(cè)器主要在日本等少數(shù)國(guó)家得到廣泛應(yīng)用。計(jì)算機(jī)技術(shù)的出現(xiàn)為交通控制技術(shù)的發(fā)展注入了新的活力,更是實(shí)現(xiàn)了以一個(gè)城市或者更大地域,而非簡(jiǎn)單的一個(gè)路口的交通總體控制系統(tǒng)。1952 年,美國(guó)科羅拉多州丹佛市首次利用模擬計(jì)算機(jī)和交通檢測(cè)器實(shí)現(xiàn)了對(duì)交通信號(hào)機(jī)網(wǎng)的配時(shí)方案自動(dòng)選擇式信號(hào)燈控制,而加拿大多倫多市于 1964 年完成了計(jì)算機(jī)控制信號(hào)燈的實(shí)用化,建立了一套由 ibm650 型計(jì)算機(jī)控制的交通信號(hào)協(xié)調(diào)控制系統(tǒng),成為世界上第

15、一個(gè)具有電子數(shù)字計(jì)算機(jī)城市交通控制系統(tǒng)的城市。這是道路交通控制技術(shù)發(fā)展的里程碑??梢哉f(shuō),在近百年的發(fā)展中,道路交通信號(hào)控制系統(tǒng)經(jīng)歷了手動(dòng)到自動(dòng),從固定配時(shí)到靈活配時(shí),從無(wú)感應(yīng)控制到有感應(yīng)控制,從單點(diǎn)控制到干線(xiàn)控制,從區(qū)域控制到網(wǎng)絡(luò)控制的長(zhǎng)遠(yuǎn)過(guò)程。交通控制研究的發(fā)展,旨在解決人類(lèi)交通因需求的增多而日益繁重帶來(lái)的問(wèn)題,局限于道路建設(shè)的暫時(shí)不足和交通工具的快速增長(zhǎng),就要使更多的車(chē)輛安全高效的利用有限的道路資源,避免因無(wú)序和搶行等無(wú)控制原因造成的不必要阻塞甚至癱瘓,另外,針對(duì)整個(gè)交通線(xiàn)路車(chē)輛的多少實(shí)時(shí)調(diào)整和轉(zhuǎn)移多條線(xiàn)路的分流也十分必要。交通網(wǎng)絡(luò)是城市的動(dòng)脈,象征著一個(gè)城市的工業(yè)文明水平。交通關(guān)系著人們

16、對(duì)于財(cái)產(chǎn),安全和時(shí)間相關(guān)的利益。具有優(yōu)良科學(xué)的交通控制技術(shù)對(duì)資源物流和人們出行都是十分有價(jià)值的,保證交通線(xiàn)路的暢通安全,才能保證出行舒暢,物流準(zhǔn)時(shí)到位,甚至是生命通道的延伸。1.3 國(guó)內(nèi)外研究現(xiàn)狀及其發(fā)展1.3.1 國(guó)內(nèi)外交通控制技術(shù)當(dāng)今,紅綠燈安裝在各個(gè)道口上,已經(jīng)成為疏導(dǎo)交通車(chē)輛最常見(jiàn)和最有效的手段。但這一技術(shù)在 19 世紀(jì)就已出現(xiàn)了。1858 年,在英國(guó)倫敦主要街頭安裝了以燃煤氣為光源的紅,藍(lán)兩色的機(jī)械扳手式信號(hào)燈,用以指揮馬車(chē)通行。這是世界上最早的交通信號(hào)燈。1868 年,英國(guó)機(jī)械工程師納伊特在倫敦威斯敏斯特區(qū)的議會(huì)大廈前的廣場(chǎng)上,安裝了世界上最早的煤氣紅綠燈。它由紅綠兩以旋轉(zhuǎn)式方形玻

17、璃提燈組成,紅色表示“停止” ,綠色表示“注意” 。1869 年 1 月 2 日,煤氣燈爆炸,使警察受傷,遂被取消。電氣啟動(dòng)的紅綠燈出現(xiàn)在美國(guó),這種紅綠燈由紅綠黃三色圓形的投光器組成,1914 年始安裝于紐約市 5 號(hào)大街的一座高塔上。紅燈亮表示“停止” ,綠燈亮表示“通行” 。1918 年,又出現(xiàn)了帶控制的紅綠燈和紅外線(xiàn)紅綠燈。帶控制的紅綠燈,一種是把壓力探測(cè)器安在地下,車(chē)輛一接近紅燈便變?yōu)榫G燈;另一種是用擴(kuò)音器來(lái)啟動(dòng)紅綠燈,司機(jī)遇紅燈時(shí)按一下嗽叭,就使紅燈變?yōu)榫G燈。紅外線(xiàn)紅綠燈當(dāng)行人踏上對(duì)壓力敏感的路面時(shí),它就能察覺(jué)到有人要過(guò)馬路。紅外光束能把信號(hào)燈的紅燈延長(zhǎng)一段時(shí)間,推遲汽車(chē)放行,以免發(fā)

18、生交通事故。從1868年英國(guó)倫敦首次使用燃汽色燈信號(hào)以來(lái),城市交通信號(hào)機(jī)由手動(dòng)到自動(dòng),交通信號(hào)由固定周期到可變周期,系統(tǒng)控制方式由點(diǎn)控到面控,從無(wú)車(chē)輛檢測(cè)器到有車(chē)輛檢測(cè)器,經(jīng)歷了近百年的歷史。到1963年加拿大多倫多市建立了一套使用ibm650型計(jì)算的集中協(xié)調(diào)感應(yīng)控制信號(hào)系統(tǒng),從而標(biāo)志著城市道路交通信號(hào)系統(tǒng)的發(fā)展進(jìn)入了一個(gè)新的階段。各個(gè)時(shí)期典型交通信號(hào)系統(tǒng)得特征如附表所示。之后,美國(guó)、英國(guó)、德國(guó)、日本、澳大利亞等多家相繼建成數(shù)字電子計(jì)算機(jī)區(qū)域交通控制系統(tǒng),這種系統(tǒng)一般還配備交通監(jiān)視系統(tǒng)組成交通管制中心。到80年代初,全世界建有交通管制中心的城市有300多個(gè),代表了未來(lái)交通控制的發(fā)展方向。表1.

19、1 交通信號(hào)系統(tǒng)發(fā)展?fàn)顩r簡(jiǎn)稱(chēng)時(shí)間國(guó)別城市名稱(chēng)控制路口數(shù)信號(hào)周期檢測(cè)器控制方式1868英國(guó)倫敦燃?xì)馍珶魡?1914美國(guó)克利夫蘭電力色燈單/1926英國(guó)各城市單點(diǎn)定周期自動(dòng)信號(hào)機(jī)單定/自動(dòng)點(diǎn)控1928美國(guó)各城市感應(yīng)式自動(dòng)信號(hào)機(jī)單定氣壓式自動(dòng)線(xiàn)控1917美國(guó)鹽湖城手控干道協(xié)調(diào)系統(tǒng)6個(gè)定/人工1922美國(guó)休斯頓電子計(jì)時(shí)干道協(xié)調(diào)系統(tǒng)12個(gè)定/電動(dòng)線(xiàn)控1928美國(guó)各城市步進(jìn)式定時(shí)干道協(xié)調(diào)系統(tǒng)多個(gè)(線(xiàn))變/電動(dòng)1952美國(guó)丹佛市模擬計(jì)算機(jī)交通信號(hào)控制系統(tǒng)多個(gè)(網(wǎng))變氣壓式計(jì)算機(jī)面控1963加拿大多倫多數(shù)字計(jì)算機(jī)集中協(xié)調(diào)感應(yīng)控制信號(hào)系統(tǒng)多個(gè)(網(wǎng))變電磁式計(jì)算機(jī)信號(hào)燈的出現(xiàn),使交通得以有效管制,對(duì)于疏導(dǎo)交通流量

20、、提高道路通行能力,減少交通事故有明顯效果。1968年,聯(lián)合國(guó)道路交通和道路標(biāo)志信號(hào)協(xié)定對(duì)各種信號(hào)燈的含義作了規(guī)定。綠燈是通行信號(hào),面對(duì)綠燈的車(chē)輛可以直行,左轉(zhuǎn)彎和右轉(zhuǎn)彎,除非另一種標(biāo)志禁止某一種轉(zhuǎn)向。左右轉(zhuǎn)彎車(chē)輛都必須讓合法地正在路口內(nèi)行駛的車(chē)輛和過(guò)人行橫道的行人優(yōu)先通行。紅燈是禁行信號(hào),面對(duì)紅燈的車(chē)輛必須在交叉路口的停車(chē)線(xiàn)后停車(chē)。黃燈是警告信號(hào),面對(duì)黃燈的車(chē)輛不能越過(guò)停車(chē)線(xiàn),但車(chē)輛已十分接近停車(chē)線(xiàn)而不能安全停車(chē)時(shí)可以進(jìn)入交叉路口。在西方發(fā)達(dá)國(guó)家,交通控制系統(tǒng)基本上完成了由傳統(tǒng)的交通控制系統(tǒng)向智能交通控制系統(tǒng)its的轉(zhuǎn)變,而在我國(guó),智能交通系統(tǒng)則剛剛處于起步階段。對(duì)于傳統(tǒng)的交通控制系統(tǒng)而言,

21、對(duì)紅綠燈一般采用定時(shí)控制,無(wú)法對(duì)實(shí)際的交通流進(jìn)行識(shí)別優(yōu)化,以至于不能適應(yīng)交通量的不確定性和隨機(jī)性的原因,往往造成交通資源的浪費(fèi)和道路的梗阻。而智能交通控制系統(tǒng)則在不產(chǎn)生大的硬件改動(dòng)的情況下有效的提高效率。its:intelligent transport systems。這一國(guó)際性術(shù)語(yǔ)于1994年被正式認(rèn)定。在此之前,美國(guó)稱(chēng)這類(lèi)技術(shù)或相關(guān)研究項(xiàng)目為“智能車(chē)輛道路系統(tǒng)(ivhs)”(intelligent veliiele highway system)。日本將這類(lèi)技術(shù)稱(chēng)為utms、vics等:歐盟則稱(chēng)之為“道路交通信息技術(shù)(rti)”。國(guó)際標(biāo)準(zhǔn)化組織(iso)為its設(shè)立的專(zhuān)項(xiàng)叫isotc20

22、4,使用的術(shù)語(yǔ)是“1rics(交通運(yùn)輸信息與控制系統(tǒng))”。智能交通系統(tǒng)強(qiáng)調(diào)的是系統(tǒng)性、信息交流的交互性以及服務(wù)的廣泛性,其核心技術(shù)是電子技術(shù)、信息技術(shù)、通信技術(shù)、交通工程和系統(tǒng)工程。智能交通系統(tǒng)its是在較完善的道路設(shè)施基礎(chǔ)上,將先進(jìn)的電子技術(shù)、信息技術(shù)、傳感器技術(shù)和系統(tǒng)工程技術(shù)集成運(yùn)用于地面交通管理所建立的一種實(shí)時(shí)、準(zhǔn)確、高效、大范圍、全方位發(fā)揮作用的交通運(yùn)輸管理系統(tǒng)。在國(guó)內(nèi),受客觀條件的制約,its起步比較晚,在20世紀(jì)90年代初,我國(guó)的相關(guān)學(xué)者開(kāi)始意識(shí)到研究和開(kāi)發(fā)its的重要性。到90年代中期,由于受到國(guó)外its研發(fā)的影響,政府部門(mén)也開(kāi)始重視對(duì)its的研究,隨后,又得到中央部門(mén)和部分地方

23、政府的支持。1999年,我國(guó)成立了全國(guó)智能交通系統(tǒng)(its)協(xié)調(diào)指導(dǎo)小組及辦公室,同年,又成立了全國(guó)智能交通運(yùn)輸系統(tǒng)(its)專(zhuān)家咨詢(xún)委員會(huì),其中,同濟(jì)大學(xué)、清華大學(xué)、北方交通大學(xué)、北京航空航天大學(xué)、吉林工業(yè)大學(xué)、東南大學(xué)等高校的有關(guān)專(zhuān)家為咨詢(xún)委員,并啟動(dòng)了國(guó)家“九五”科技攻關(guān)課題和國(guó)家“十五”科技攻關(guān)課題。目前,在對(duì)一些大中型城市引入的國(guó)外its進(jìn)行研究的基礎(chǔ)上已經(jīng)逐漸開(kāi)始摸索開(kāi)發(fā)設(shè)計(jì)適合自己國(guó)情的its系統(tǒng)。1.3.2 交通控制存在的問(wèn)題我國(guó)城市交通運(yùn)輸?shù)默F(xiàn)狀和存在的問(wèn)題,借鑒國(guó)外城市交通管理的先進(jìn)經(jīng)驗(yàn),強(qiáng)調(diào)建立城市交通管理體制的重要性,提出加強(qiáng)城市交通研究的交通規(guī)劃,建立穩(wěn)定的交通基礎(chǔ)設(shè)

24、施建設(shè)的資金出道,實(shí)行公交優(yōu)先政策,建立先進(jìn)的交通信息系統(tǒng)等對(duì)策。隨著城市機(jī)動(dòng)車(chē)增長(zhǎng)速度的加快。1994 年臥軌城市機(jī)動(dòng)車(chē)保有量已接近500 完輛。20 世紀(jì) 90 年代以來(lái),經(jīng)濟(jì)的發(fā)展加快,從 1985 年到 1995 年,機(jī)動(dòng)車(chē)增長(zhǎng)率達(dá) 13%左右,近幾年更是增多。然而,在此同時(shí),城市道路建設(shè)規(guī)模也在加大,我國(guó)城市普遍存在道路密度,道路面積率偏低的問(wèn)題,這是我國(guó)城市喲其是大城市有機(jī)的一個(gè)重要原因。我國(guó)城市道路的密度只有 6.8km 每平方千米,而在 20 世紀(jì) 80 年代,世界發(fā)達(dá)國(guó)家就已到達(dá) 20km 每平方千米。20 世紀(jì) 90 年代,我國(guó)部分城市道路面積率,北京為 5.9%,上海為

25、6.4%,而國(guó)外東京為 13.8%,巴黎為 25%,普遍高于我國(guó)。近幾年,國(guó)家雖不斷加大城市道路建設(shè)的力度,但仍趕不上車(chē)輛的增長(zhǎng)速度,且與世界其他國(guó)家相比,差距仍很大。出租車(chē)以及公交的發(fā)展運(yùn)營(yíng)情況并不盡如人意,雖然車(chē)輛和線(xiàn)路長(zhǎng)度增長(zhǎng),但運(yùn)營(yíng)速度成了瓶頸,新增的運(yùn)力被運(yùn)輸效率低下所抵消。交通管理方面水平還欠發(fā)展,隨著交通需求越來(lái)越旺盛,而我國(guó)城市中小交通管理和交通安全的現(xiàn)代化設(shè)施卻做得不足。在車(chē)輛,道路和交通管理系統(tǒng),城市交通信號(hào)控制系統(tǒng),城市交通管制中應(yīng)用人工智能技術(shù),信息 采集和信息提供技術(shù)等方面都與發(fā)達(dá)國(guó)家有很大差距。近幾年,雖然有部分城市研究和引進(jìn)一些國(guó)外先進(jìn)的交通信號(hào)管理系統(tǒng),但是由于

26、交通管理設(shè)施不足等原因,我國(guó)交通事故率居高不下。城市車(chē)流行駛速度逐年下降,目前不少城市交通運(yùn)量年年增長(zhǎng),但運(yùn)輸速度普遍下降,這都源于交通通行不佳。1.4 單片機(jī)交通控制系統(tǒng)主要研究的內(nèi)容基于整個(gè)交通控制系統(tǒng)的發(fā)展情況,本設(shè)計(jì)主要進(jìn)行如下方面的研究:用智能,集成,且功能強(qiáng)大的單片機(jī)芯片為控制中心,設(shè)計(jì)出一套十字路口的交通控制系統(tǒng),以指揮該路口的實(shí)時(shí)通行狀態(tài)。本設(shè)計(jì)主要做了如下幾方面的工作:一是確定系統(tǒng)交通控制的總體設(shè)計(jì),包括,十字路口具體的通行禁行方案設(shè)計(jì)以及系統(tǒng)應(yīng)擁有的各項(xiàng)功能,在這里,本設(shè)計(jì)除了有信號(hào)燈狀態(tài)控制能實(shí)現(xiàn)基本的交通功能,還增加了倒計(jì)時(shí)顯示提示,基于實(shí)際情況,又要求了對(duì)車(chē)流量檢測(cè)及

27、自調(diào)整模擬功能,違規(guī)檢測(cè)及處理,緊急狀況處理和鍵盤(pán)可設(shè)置等強(qiáng)大功能。 二是進(jìn)行智能傳感器的硬件電路,顯示電路等的設(shè)計(jì)對(duì)各器件的選擇及連接,大體分配各個(gè)器件及模塊的基本功能要求。三是進(jìn)行軟件系統(tǒng)的設(shè)計(jì),對(duì)于本系統(tǒng),本人采用單片機(jī)匯編語(yǔ)言編寫(xiě),對(duì)單片機(jī)內(nèi)部結(jié)構(gòu)和工作情況做了充足的研究,了解定時(shí)器,中斷以及延時(shí)原理,總體上完成了軟件的編寫(xiě)。2 單片機(jī)交通控制系統(tǒng)總體設(shè)計(jì)單片機(jī)交通控制系統(tǒng)總體設(shè)計(jì)2.1 單片機(jī)交通控制系統(tǒng)的通行方案設(shè)計(jì)設(shè)在十字路口,分為東西向和南北向,在任一時(shí)刻只有一個(gè)方向通行,另一方向禁行,持續(xù)一定時(shí)間,經(jīng)過(guò)短暫的過(guò)渡時(shí)間,將通行禁行方向?qū)Q。其具體狀態(tài)如下圖所示。說(shuō)明:黑色表示亮

28、,白色表示滅。交通狀態(tài)從狀態(tài) 1 開(kāi)始變換,直至狀態(tài) 6 然后循環(huán)至狀態(tài) 1,周而復(fù)始,即如圖 2.1 所示:圖 2.1 交通狀態(tài) 通過(guò)具體的路口交通燈狀態(tài)的演示分析我們可以把這四個(gè)狀態(tài)歸納如下:東西方向紅燈滅,同時(shí)綠燈亮,南北方向黃燈滅,同時(shí)紅燈亮,倒計(jì)時(shí)20 秒。此狀態(tài)下,東西向禁止通行,南北向允許通行。東西方向綠燈滅,同時(shí)黃燈亮,南北方向紅燈亮,倒計(jì)時(shí) 2 秒。此狀態(tài)下,除了已經(jīng)正在通行中的其他所以車(chē)輛都需等待狀態(tài)轉(zhuǎn)換。南北方向紅燈滅,同時(shí)綠燈亮,東西方向黃燈滅,同時(shí)紅燈亮,倒計(jì)時(shí)20 秒。此狀態(tài)下,東西向允許通行,南北向禁止通行。南北方向綠燈滅,同時(shí)黃燈亮,東西方向紅燈亮,倒計(jì)時(shí) 2

29、秒。此狀態(tài)下,除了已經(jīng)正在通行中的其他所以車(chē)輛都需等待狀態(tài)轉(zhuǎn)換。下面我們可以用圖表表示燈狀態(tài)和行止?fàn)顟B(tài)的關(guān)系如下:表 2.1 交通狀態(tài)及紅綠燈狀態(tài)東西南北四個(gè)路口均有紅綠黃 3 燈和數(shù)碼顯示管 2 個(gè),在任一個(gè)路口,遇紅燈禁止通行,轉(zhuǎn)綠燈允許通行,之后黃燈亮警告行止?fàn)顟B(tài)將變換。狀態(tài)及紅綠燈狀態(tài)如表 2.1 所示。說(shuō)明:0 表示滅,1 表示亮。2.2 單片機(jī)交通控制系統(tǒng)的功能要求本設(shè)計(jì)能模擬基本的交通控制系統(tǒng),用紅綠黃燈表示禁行,通行和等待的信號(hào)發(fā)生,還能進(jìn)行倒計(jì)時(shí)顯示,車(chē)流量檢測(cè)及調(diào)整,交通違規(guī)處理和緊急處理等功能。(1)倒計(jì)時(shí)顯示倒計(jì)時(shí)顯示可以提醒駕駛員在信號(hào)燈燈色發(fā)生改變的時(shí)間、在“停止”

30、和“通過(guò)”兩者間作出合適的選擇。駕駛員和行人普遍都愿意選擇有倒計(jì)時(shí)顯示狀態(tài) 1狀態(tài) 3狀態(tài) 4狀態(tài) 6東西向禁行等待變換通行等待變換南北向通行等待變換禁行等待變換東西紅燈1100東西黃燈0001東西綠燈0010南北紅燈0011南北綠燈1000南北黃燈0100的信號(hào)控制方式,并且認(rèn)為有倒計(jì)時(shí)顯示的路口更安全。倒計(jì)時(shí)顯示是用來(lái)減少駕駛員在信號(hào)燈色改變的關(guān)鍵時(shí)刻做出復(fù)雜判斷的 1 種方法,它可以提醒駕駛員燈色發(fā)生改變的時(shí)間,幫助駕駛員在“停止”和“通過(guò)”兩者間作出合適的選擇 。(2)車(chē)流量檢測(cè)及調(diào)整隨著我國(guó)經(jīng)濟(jì)建設(shè)的蓬勃發(fā)展,城市人口和機(jī)動(dòng)車(chē)擁有量在急劇增長(zhǎng),交通流量日益加大,交通擁擠堵塞現(xiàn)象日趨嚴(yán)

31、重,交通事故時(shí)有發(fā)生。車(chē)輛檢測(cè)器作為智能交通系統(tǒng)的基本組成部分,在智能交通系統(tǒng)中占有重要的地位?,F(xiàn)階段,車(chē)輛檢測(cè)器檢測(cè)方式有很多,各有其優(yōu)缺點(diǎn),如紅外線(xiàn)檢測(cè)器、地磁檢測(cè)器、機(jī)械壓電檢測(cè)器,磁頻檢測(cè)器、波頻檢測(cè)器、視頻檢測(cè)器等。一般車(chē)流量檢測(cè)器采用傳感器+單片機(jī)+外圍器件來(lái)實(shí)現(xiàn)。 而且,目前國(guó)內(nèi)使用的紅綠燈都是固定的紅綠燈時(shí)間,并自動(dòng)切換。紅燈時(shí)間和綠燈時(shí)間,是根據(jù)道口東西向和南北向的車(chē)流量,利用統(tǒng)計(jì)方法確定的。交通警察不斷觀察十字路口的兩個(gè)方向,根據(jù)車(chē)輛密度和流速?zèng)Q定是否切換紅綠燈,以保證最佳的道路交通控制狀態(tài)。(3)時(shí)間手動(dòng)設(shè)置 除系統(tǒng)根據(jù)車(chē)流量自動(dòng)控制調(diào)整,也可以通過(guò)鍵盤(pán)進(jìn)行手動(dòng)設(shè)置,增加

32、了人為的可控性,避免自動(dòng)故障和意外發(fā)生,并再緊急狀態(tài)下,可設(shè)置所有燈變?yōu)榧t燈。鍵盤(pán)是單片機(jī)系統(tǒng)中最常用的人機(jī)接口,一般情況下有獨(dú)立式和行列式兩種。前者軟件編寫(xiě)簡(jiǎn)單,但在按鍵數(shù)量較多時(shí)特別浪費(fèi) i0 口資源,一般用于按鍵數(shù)量少的系統(tǒng)。后者適用于按鍵數(shù)量較多的場(chǎng)合,但是在單片機(jī) i0口資源相對(duì)較少而需要較多按鍵時(shí),此方法仍不能滿(mǎn)足設(shè)計(jì)要求。本系統(tǒng)要求的按鍵控制不多,且 i0 口足夠,可直接采用獨(dú)立式。(4)緊急處理 交通路口出現(xiàn)緊急狀況在所難免,如特大事件發(fā)生,救護(hù)車(chē)等急行車(chē)通過(guò)等,我們都必須盡量允許其暢通無(wú)阻,畢竟在這種情況下是分秒必爭(zhēng)的,時(shí)時(shí)刻刻關(guān)系著公共財(cái)產(chǎn)安全,個(gè)人生死攸關(guān)等。由此在交通控

33、制中增設(shè)禁停按鍵,就可達(dá)到想此目的。(5)違規(guī)檢測(cè) 交通規(guī)則必須人人遵守,但是違反規(guī)則,如闖紅燈等,也時(shí)有發(fā)生,交警等交通管理人員雖然可以進(jìn)行實(shí)時(shí)監(jiān)管,但是耗費(fèi)精力,在路口設(shè)置檢測(cè)傳感器就可以進(jìn)行自動(dòng)的警報(bào)提示。2.3 單片機(jī)交通控制系統(tǒng)的基本構(gòu)成及原理單片機(jī)設(shè)計(jì)交通燈控制系統(tǒng),可用單片機(jī)直接控制信號(hào)燈的狀態(tài)變化,基本上可以指揮交通的具體通行,當(dāng)然,接入 led 數(shù)碼管就可以顯示倒計(jì)時(shí)以提醒行使者,更具人性化。本系統(tǒng)在此基礎(chǔ)上,加入了違規(guī)檢測(cè)電路和車(chē)流量檢測(cè)電路為單片機(jī)采集數(shù)據(jù),單片機(jī)對(duì)此進(jìn)行具體處理,及時(shí)調(diào)整控制指揮,為了超越視覺(jué)指揮的局限性,同時(shí)接上蜂鳴器,在聽(tīng)覺(jué)上加強(qiáng)了指揮提醒作用。單片

34、機(jī)蜂鳴器按鍵控制紅黃綠信號(hào)燈車(chē)流量檢測(cè)電路最小系統(tǒng)外圍接口電路8 段 led 數(shù)碼管顯示圖 2.2 系統(tǒng)的總體框圖據(jù)此,本設(shè)計(jì)系統(tǒng)以單片機(jī)為控制核心,連接成最小系統(tǒng),由車(chē)流量檢測(cè)模塊,違規(guī)檢測(cè)模塊,和按鍵設(shè)置模塊等產(chǎn)生輸入,信號(hào)燈狀態(tài)模塊,led 倒計(jì)時(shí)模塊和蜂鳴器狀態(tài)模塊接受輸出。系統(tǒng)的總體框圖如上所示。鍵盤(pán)設(shè)置模塊對(duì)系統(tǒng)輸入模式選擇及具體通行時(shí)間設(shè)置的信號(hào),系統(tǒng)進(jìn)入正常工作狀態(tài),執(zhí)行交通燈狀態(tài)顯示控制,同時(shí)將時(shí)間數(shù)據(jù)倒計(jì)時(shí)輸入到 led數(shù)碼管上實(shí)時(shí)顯示。在此過(guò)程中還要實(shí)時(shí)捕捉違規(guī)檢測(cè)和緊急按鍵信號(hào),以達(dá)到對(duì)異常狀態(tài)進(jìn)行實(shí)時(shí)控制的目的。急停按鍵和違規(guī)檢測(cè)隨時(shí)調(diào)用中斷。在模式選擇上,若為自動(dòng)模

35、式,將不斷調(diào)用車(chē)流量檢測(cè)模塊對(duì)車(chē)流量進(jìn)行檢測(cè)統(tǒng)計(jì),到達(dá)一定時(shí)間將修正通行時(shí)間一滿(mǎn)足不同路況的需要。3 系統(tǒng)硬件電路的設(shè)計(jì)系統(tǒng)硬件電路的設(shè)計(jì)3.1 系統(tǒng)硬件總電路構(gòu)成及原理實(shí)現(xiàn)本設(shè)計(jì)要求的具體功能,可以選用 at89s51 單片機(jī)及外圍器件構(gòu)成最小控制系統(tǒng),12 個(gè)發(fā)光二極管分成 4 組紅綠黃三色燈構(gòu)成信號(hào)燈指示模塊,8個(gè) led 東西南北各兩個(gè)構(gòu)成倒計(jì)時(shí)顯示模塊,車(chē)流量檢測(cè)傳感器采集流量數(shù)據(jù),光敏傳感器捕獲違規(guī)信號(hào),若干按鍵組成時(shí)間設(shè)置和模式選擇按鈕和緊急按鈕等,以及用 1 個(gè)蜂鳴器進(jìn)行報(bào)警。3.1.1 系統(tǒng)硬件電路構(gòu)成本系統(tǒng)以單片機(jī)為核心,組成一個(gè)集車(chē)流量采集、處理、自動(dòng)控制為一身的閉環(huán)控制

36、系統(tǒng)。系統(tǒng)硬件電路由車(chē)流量檢測(cè)電路、單片機(jī)、違規(guī)檢測(cè)電路,狀態(tài)燈,led 顯示,按鍵,蜂鳴器組成。其具體的硬件電路總圖如圖 3.1 所示。e a/v p31x 119x 218r es et9r d17w r16in t 012in t 113t 014t 115p101p112p123p134p145p156p167p178p0039p0138p0237p0336p0435p0534p0633p0732p2021p2122p2223p2324p2425p2526p2627p2728pse n29a le /p30t xd11r xd108051c ry st a l11.0592m hzc

37、1c 2220v交交交交交交0.22f0.1 f交交c51234d 1v in1gnd2v out3l m7805+5v+21.6vgyrygrrgyygr1234567abcdefg8dp9g ndabfcgdedpa mb e rc c1234567abcdefg8dp9g ndabfcgdedpa mb e rc c1234567abcdefg8dp9g ndabfcgdedpd s?a mb e rc c1234567abcdefg8dp9g ndabfcgdedpd s?a mb e rc c1234567abcdefg8dp9g ndabfcgdedpd s?a mb e rc c

38、1234567abcdefg8dp9g ndabfcgdedpd s?a mb e rc c1234567abcdefg8dp9g ndabfcgdedpd s?a mb e rc c1234567abcdefg8dp9g ndabfcgdedpd s?a mb e rc cvccvcca 1104 交交交交交交交交交交交交vccvccjfsr 2r 3r 4r 5交交交交vccr 81kv ccr 9a7b1c2d6l t3b i/r bo4r bi5a13b12c11d10e9f15g14u ?7448vcca 1104 交交交交交交交交交交交交vcc0.3k0.3k0.3k0.3k0.3

39、k0.3k0.3k0.3k0.3k0.3k0.3k0.3kp10p11p12p13p14p15p10p11p12p13p14p15p10p11p12p13p14p15vccvccvccvccvccvccvccvccvccp20p21p22p23p24p25p26p27p20p21p22p23p24p25p26p27vccr 1c 3vccvccvccvcc 圖 3.1 總體設(shè)計(jì)電路圖其中 p0,p2,用于送顯兩片 led 數(shù)碼管,p1 用于控制紅綠黃發(fā)光二極管,xtal1 和 xtal2 接入晶振時(shí)鐘電路,reset 引腳接上復(fù)位電路,p3.3 即 int1 接違規(guī)檢測(cè)電路和 p3.2 即 i

40、nt0 接緊停東西時(shí)間設(shè)置鍵 j,p0.6,p0.7 接車(chē)流量檢測(cè)電路,p3.6 接南北時(shí)間設(shè)置鍵 s,p3.7 接自動(dòng)模式選擇返回鍵f,p3.4 接蜂鳴器。3.1.2 系統(tǒng)工作原理系統(tǒng)上電或手動(dòng)復(fù)位之后,系統(tǒng)等待模式選擇設(shè)置鍵按下,模式分兩種:紅綠燈時(shí)間自動(dòng)和紅綠燈時(shí)間設(shè)置。若此時(shí) f 鍵按下,則設(shè)置為自動(dòng)模式,若此時(shí)按下的是 s 鍵,則設(shè)置為時(shí)間設(shè)置模式,依次按 s 若干次,j 鍵若干次可設(shè)置好兩個(gè)方向的紅綠燈時(shí)間,再按 f 鍵確認(rèn)。其實(shí)這個(gè)過(guò)程就是將存儲(chǔ)時(shí)間值的寄存器進(jìn)行設(shè)置,以及標(biāo)志是否要進(jìn)行車(chē)流量檢測(cè)及調(diào)整。接下來(lái),系統(tǒng)必須先顯示狀態(tài)燈及 led 數(shù)碼管,將狀態(tài)碼值送顯 p1 口,將

41、要顯示的時(shí)間值送顯 p0 口和用 p2 口來(lái)選通 led 數(shù)碼管的顯示導(dǎo)通,在此同時(shí)以 50ms 為周期,用軟件方法計(jì)時(shí) 1 秒,到達(dá) 1s 就要將時(shí)間值減 1,刷新 led數(shù)碼管。時(shí)間到達(dá)一個(gè)狀態(tài)所要全部時(shí)間,則要進(jìn)行下一狀態(tài)判斷及銜接,并裝入次狀態(tài)的相應(yīng)狀態(tài)碼值以及時(shí)間值,當(dāng)然,還要開(kāi)啟兩個(gè)外部中斷,其一為違規(guī)信號(hào)或禁停信號(hào)輸入,一旦信號(hào)有效,中斷開(kāi)始,進(jìn)入中斷服務(wù)子程序,開(kāi)啟蜂鳴器禁止全部通行,當(dāng)按下f 鍵,中斷結(jié)束返回。其二為車(chē)流量檢測(cè)信號(hào)輸入,若檢測(cè)到車(chē)輛經(jīng)過(guò),進(jìn)入相應(yīng)的中斷子程序,將存儲(chǔ)車(chē)流量的計(jì)數(shù)器加 1,然后中斷結(jié)束返回。每滿(mǎn)一個(gè)狀態(tài)循環(huán)周期,若為自動(dòng)模式,則須將檢測(cè)到的車(chē)流量

42、數(shù)據(jù)處理一次,判斷兩個(gè)方向的交通輕重緩急狀況,再調(diào)整下次狀態(tài)循環(huán)的紅綠燈時(shí)間,以達(dá)到自動(dòng)控制的目的。3.2 at89s51 單片機(jī)簡(jiǎn)介3.2.1 單片機(jī)的概述單片微型計(jì)算機(jī)簡(jiǎn)稱(chēng)單片機(jī),又稱(chēng)微控制器,嵌入式微控制器等,屬于第四代電子計(jì)算機(jī)。它把中央處理器、存儲(chǔ)器、輸入/輸出接口電路以及定時(shí)器/計(jì)數(shù)器集成在一塊芯片上,從而具有體積小、功耗低、價(jià)格低廉、抗干擾能力強(qiáng)且可靠性高等特點(diǎn),因此,適合應(yīng)用于工業(yè)過(guò)程控制、智能儀器儀表和測(cè)控系統(tǒng)的前端裝置。正是由于這一原因,國(guó)際上逐漸采用微控制器(mcu)代替單片微型計(jì)算機(jī)(scm)這一名稱(chēng)。 “微控制器”更能反映單片機(jī)的本質(zhì),但是由于單片機(jī)這個(gè)名稱(chēng)已經(jīng)為國(guó)內(nèi)

43、大多數(shù)人所接受,所以仍沿用“單片機(jī)”這一名稱(chēng)。單片機(jī)的主要特點(diǎn)有:1)具有優(yōu)異的性能價(jià)格比。2)集成度高、體積小、可靠性高。3)控制功能強(qiáng)。4)低電壓,低功耗。at89s51 是美國(guó) atmel 公司生產(chǎn)的低功耗,高性能 cmos8 位單片機(jī),片內(nèi)含 4k bytes 的可系統(tǒng)編程的 flash 只讀程序存儲(chǔ)器,器件采用 atmel 公司的高密度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn) 8051 指令系統(tǒng)及引腳。它集 flash 程序存儲(chǔ)器 既可在線(xiàn)編程(isp)也可用傳統(tǒng)方法進(jìn)行編程及通用 8 位微處理器于單片芯片中,atmel 公司的功能強(qiáng)大,低價(jià)位 at89s51 單片機(jī)可為您提供許多高性?xún)r(jià)比的

44、應(yīng)用場(chǎng)合,可靈活應(yīng)用于各種控制領(lǐng)域。3.2.2 at89s51 芯片內(nèi)部結(jié)構(gòu)簡(jiǎn)介 中央處理器:中央處理器:中央處理器(cpu)是整個(gè)單片機(jī)的核心部件,是 8 位數(shù)據(jù)寬度的處理器,能處理 8 位二進(jìn)制數(shù)據(jù)或代碼,cpu 負(fù)責(zé)控制、指揮和調(diào)度整個(gè)單元系統(tǒng)協(xié)調(diào)的工作,完成運(yùn)算和控制輸入輸出功能等操作。數(shù)據(jù)存儲(chǔ)器數(shù)據(jù)存儲(chǔ)器(內(nèi)部?jī)?nèi)部 ram):數(shù)據(jù)存儲(chǔ)器用于存放變化的數(shù)據(jù)。at89s51 中數(shù)據(jù)存儲(chǔ)器的地址空間為 256個(gè) ram 單元,但其中能作為數(shù)據(jù)存儲(chǔ)器供用戶(hù)使用的僅有前面 128 個(gè),后 128個(gè)被專(zhuān)用寄存器占用。程序存儲(chǔ)器程序存儲(chǔ)器( (內(nèi)部?jī)?nèi)部 rom)rom):程序存儲(chǔ)器用于存放程序和固

45、定不變的常數(shù)等。通常采用只讀存儲(chǔ)器,且其又多種類(lèi)型,在 89 系列單片機(jī)中全部采用閃存。at89s51 內(nèi)部配置了 4kb 閃存。定時(shí)定時(shí)/ /計(jì)數(shù)器計(jì)數(shù)器(rom)(rom): 定時(shí)/計(jì)數(shù)器用于實(shí)現(xiàn)定時(shí)和計(jì)數(shù)功能。at89s51 共有 2 個(gè) 16 位定時(shí)/計(jì)數(shù)器。 并行輸入輸出并行輸入輸出(i/o)(i/o)口:口: 8051 共有 4 組 8 位 i/o 口(p0、 p1、p2 或 p3),用于對(duì)外部數(shù)據(jù)的傳輸。每個(gè)口都由 1 個(gè)鎖存器和一個(gè)驅(qū)動(dòng)器組成。它們主要用于實(shí)現(xiàn)與外部設(shè)備中數(shù)據(jù)的并行輸入與輸出,有些 i/o 口還有其他功能。 全雙工串行口:全雙工串行口:a89s51 內(nèi)置一個(gè)全雙

46、工串行通信口,用于與其它設(shè)備間的串行數(shù)據(jù)傳送,該串行口既可以用作異步通信收發(fā)器,也可以當(dāng)同步移位器使用。 時(shí)鐘電路:時(shí)鐘電路:時(shí)鐘電路的作用是產(chǎn)生單片機(jī)工作所需要的時(shí)鐘脈沖序列。 中斷系統(tǒng):中斷系統(tǒng):中斷系統(tǒng)的作用主要是對(duì)外部或內(nèi)部的終端請(qǐng)求進(jìn)行管理與處理。圖 3.2 at89s51 系列單片機(jī)的內(nèi)部結(jié)構(gòu)示意圖 at89s51 共有 5 個(gè)中斷源,其中有 2 個(gè)外部中斷源和 3 個(gè)內(nèi)部中斷源。3.2.3 主要引腳功能at89s51 引腳圖如圖 3.3 所示: 圖 3.3 引腳圖vcc:電源電壓gnd:地p0 口:p0 口是一組 8 位漏極開(kāi)路型雙向 i0 口,也即地址數(shù)據(jù)總線(xiàn)復(fù)用口。作為輸出口

47、用時(shí),每位能驅(qū)動(dòng) 8 個(gè) ttl 邏輯門(mén)電路,對(duì)端口寫(xiě)“l(fā)”可作為高阻抗輸入端用。在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器或程序存儲(chǔ)器時(shí),這組口線(xiàn)分時(shí)轉(zhuǎn)換地址(低 8 位)和數(shù)據(jù)總線(xiàn)復(fù)用,在訪問(wèn)期間激活內(nèi)部上拉電阻。p1 口:pl 是一個(gè)帶內(nèi)部上拉電阻的 8 位雙向 io 口,pl 的輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4 個(gè) ttl 邏輯門(mén)電路。對(duì)端口寫(xiě)“l(fā)” ,通過(guò)內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口。作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流(iil) 。flash 編程和程序校驗(yàn)期間,pl 接收低 8 位地址。表 3.1 具有第二功能的 p1 口引腳端口引腳第二功

48、能:p1.5mosi(用于 isp 編程)p1.6mosi(用于 isp 編程)p1.7mosi(用于 isp 編程)p2 口:p2 是一個(gè)帶有內(nèi)部上拉電阻的 8 位雙向 io 口,p2 的輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4 個(gè) ttl 邏輯門(mén)電路。對(duì)端口寫(xiě)“1” ,通過(guò)內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口,作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流(iil) 。在訪問(wèn)外部程序存儲(chǔ)器或 16 位地址的外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行 movxdptr 指令)時(shí),p2 口送出高 8 位地址數(shù)據(jù)。在訪問(wèn) 8 位地址的外部數(shù)據(jù)存儲(chǔ)器(如執(zhí)行movxri 指令)時(shí)

49、,p2 口線(xiàn)上的內(nèi)容(也即特殊功能寄存器(sfr)區(qū)中 p2 寄存器的內(nèi)容) ,在整個(gè)訪問(wèn)期間不改變。flash 編程或校驗(yàn)時(shí),p2 亦接收高位地址和其它控制信號(hào)。p3 口:p3 口是一組帶有內(nèi)部上拉電阻的 8 位雙向 i0 口。p3 口輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4 個(gè) ttl 邏輯門(mén)電路。對(duì) p3 口寫(xiě)入“l(fā)”時(shí),它們被內(nèi)部上拉電阻拉高并可作為輸入端口。作輸入端時(shí),被外部拉低的 p3 口將用上拉電阻輸出電流(iil) 。p3 口除了作為一般的 i0 口線(xiàn)外,更重要的用途是它的第二功能,如下表所示:表 3.2 具有第二功能的 p1 口引腳端口引腳第二功能:p3.0rxd(串行輸入口)p

50、3.1txd(串行輸出口)p3.2/int0(外中斷 0)p3.3/ int1(外中斷 1)p3.4t0(定時(shí)計(jì)數(shù)器 0 外部輸入)p3.5t1(定時(shí)計(jì)數(shù)器 1 外部輸入)p3.6/ wr(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)p3.7/ rd 外部數(shù)據(jù)存儲(chǔ)器讀選通)p3 口還接收一些用于 flash 閃速存儲(chǔ)器編程和程序校驗(yàn)的控制信號(hào)。rst:復(fù)位輸入。當(dāng)振蕩器工作時(shí),rst 引腳出現(xiàn)兩個(gè)機(jī)器周期以上高電平將使單片機(jī)復(fù)位。wdt 溢出將使該引腳輸出高電平,設(shè)置 sfr auxr 的disrt0 位(地址 8eh)可打開(kāi)或關(guān)閉該功能。disrt0 位缺省為 reset 輸出高電平打開(kāi)狀態(tài)。aleerror!:

51、當(dāng)訪問(wèn)外部程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),ale(地址鎖存允許)輸出脈沖用于鎖存地址的低 8 位字節(jié)。即使不訪問(wèn)外部存儲(chǔ)器,ale 仍以時(shí)鐘振蕩頻率的 16 輸出固定的正脈沖信號(hào),因此它可對(duì)外輸出時(shí)鐘或用于定時(shí)目的。要注意的是:每當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過(guò)一個(gè) ale 脈沖。對(duì) f1ash 存儲(chǔ)器編程期間,該引腳還用于輸入編程脈沖(prog) 。如有必要,可通過(guò)對(duì)特殊功能寄存器(sfr)區(qū)中的 8eh 單元的 d0 位置位,可禁止 ale 操作。該位置位后,只有一條 m0vx 和 m0vc 指令 ale 才會(huì)被激活。此外,該引腳會(huì)被微弱拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)置 ale 無(wú)效。error!

52、程序儲(chǔ)存允許(error!)輸出是外部程序存儲(chǔ)器的讀選通信號(hào),當(dāng)at89s51 由外部程序存儲(chǔ)器取指令(或數(shù)據(jù))時(shí),每個(gè)機(jī)器周期兩次error!有效,即輸出兩個(gè)脈沖。當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器,沒(méi)有兩次有效的error!信號(hào)。error!vpp:外部訪問(wèn)允許。欲使 cpu 僅訪問(wèn)外部程序存儲(chǔ)器(地址為0000hffffh) ,ea 端必須保持低電平(接地) 。需注意的是:如果加密位 lb1被編程,復(fù)位時(shí)內(nèi)部會(huì)鎖存 ea 端狀態(tài)。如 ea 端為高電平(接 vcc 端) ,cpu 則執(zhí)行內(nèi)部程序存儲(chǔ)器中的指令。f1ash 存儲(chǔ)器編程時(shí),該引腳加上+12v 的編程電壓 vpp。xtal1:振蕩器反相放大器

53、及內(nèi)部時(shí)鐘發(fā)生器的輸入端。3.2.4 at89s51 芯片最小系統(tǒng)一個(gè)最簡(jiǎn)單的單片機(jī)系統(tǒng)包括晶振、復(fù)位、電源、系統(tǒng)的輸入控制、輸出顯示,以及其他外圍模塊(如通信、數(shù)據(jù)采集等)。(1)時(shí)鐘電路首先介紹一下單片機(jī)的晶振電路,即時(shí)鐘電路。單片機(jī)的工作流程,就是在系統(tǒng)時(shí)鐘的作用下,一條一條地執(zhí)行存儲(chǔ)器中的程序。單片機(jī)的時(shí)鐘電路由外接的一只晶振和兩只起振電容,以及單片機(jī)內(nèi)部的時(shí)鐘電路組成,晶振的頻率越高,單片機(jī)處理數(shù)據(jù)的速度越快,系統(tǒng)功耗也會(huì)相應(yīng)增加,穩(wěn)定性也會(huì)下降。單片機(jī)系統(tǒng)常用的晶振頻率有 6mhz、110592mhz、12mhz、本系統(tǒng)采用 110592mhz 晶振,電容選 22pf 或 30pf

54、 均可。(2)復(fù)位電路系統(tǒng)剛上電時(shí),單片機(jī)內(nèi)部的程序還沒(méi)有開(kāi)始執(zhí)行,需要一段準(zhǔn)備時(shí)間,也就是復(fù)位時(shí)間。一個(gè)穩(wěn)定的單片機(jī)系統(tǒng)必須設(shè)計(jì)復(fù)位電路。當(dāng)程序跑飛或死機(jī)時(shí),也需要進(jìn)行系統(tǒng)復(fù)位。復(fù)位電路有很多種,有上電復(fù)位,手動(dòng)復(fù)位等。 (3)ea 腳的功能及接法單片機(jī)的 ea 腳控制程序從內(nèi)部存儲(chǔ)器還是從外部存儲(chǔ)器讀取程序。由于現(xiàn)在單片機(jī)內(nèi)部的 flash 容量都很大,因此基本都是從內(nèi)部的存儲(chǔ)器讀取程序,即不需要外接 rom 來(lái)存儲(chǔ)程序,因此,ea 腳必須接高電平。本設(shè)計(jì)中復(fù)位方式采用上電按鍵手動(dòng)復(fù)位方式,時(shí)鐘采用內(nèi)部時(shí)鐘。如下圖 3.4 所示。圖 3.4 本系統(tǒng)復(fù)位與時(shí)鐘方式3.3 其它硬件介紹及連接3

55、.3.1 車(chē)流量檢測(cè)電路及模擬如何判斷兩路口車(chē)輛的狀況呢?我們要設(shè)計(jì)一套科學(xué)檢測(cè)車(chē)流量而自動(dòng)調(diào)整綠燈放行時(shí)間( 需設(shè)定上、下限) 的控制系統(tǒng),這樣無(wú)疑會(huì)大大提高車(chē)輛通過(guò)率, 有效緩解交通壓力。我們?cè)诿寇?chē)道車(chē)輛等待線(xiàn)的前方都安裝一個(gè)霍爾車(chē)輛檢測(cè)傳感器, 當(dāng)有一輛車(chē)通過(guò)時(shí)就會(huì)使霍爾開(kāi)關(guān)型傳感器的磁場(chǎng)發(fā)生變化, 而產(chǎn)生一個(gè)脈沖電平, 脈沖電平送給單片機(jī)的計(jì)數(shù)器處理, 給單片機(jī)的計(jì)數(shù)器定一個(gè)初值, 用來(lái)判斷各方向車(chē)輛狀況。比如: 20秒內(nèi)可以通過(guò)的車(chē)輛為20輛, 當(dāng)20秒內(nèi)南往北方向車(chē)輛通過(guò)車(chē)輛達(dá)不到20輛時(shí), 判斷該方向?yàn)樯佘?chē), 當(dāng)20秒內(nèi)北往南方向車(chē)輛通過(guò)車(chē)輛也達(dá)不到20 輛時(shí), 判斷該方向也為少

56、車(chē), 下一次通行仍為20秒, 當(dāng)20秒時(shí)間內(nèi)南往北或北往南任意一個(gè)方向通過(guò)的車(chē)輛達(dá)20輛時(shí)證明該狀態(tài)車(chē)輛較多, 下一次該方向綠燈放行時(shí)間改為40秒, 當(dāng)40秒內(nèi)通過(guò)的車(chē)輛數(shù)達(dá)45輛時(shí)車(chē)輛判斷為擁擠, 下一次綠燈放行時(shí)間改仍為40秒, 當(dāng)40秒車(chē)輛上通過(guò)車(chē)輛達(dá)不到45輛時(shí), 判斷為少車(chē), 下次綠燈放行時(shí)間改為20秒, 依此類(lèi)推。綠燈下限時(shí)間為20秒, 上限值為40秒, 初始時(shí)間為20秒。這樣檢測(cè), 某次可能不準(zhǔn)確, 但下次肯定能彌補(bǔ)回來(lái), 累積計(jì)算是很準(zhǔn)確的, 這就是人們常說(shuō)的模糊控制”。因?yàn)槁飞系能?chē)不可能突然增多, 塞車(chē)都有一個(gè)累積過(guò)程。這樣控制可以把不斷增多的車(chē)輛一步一步消化, 雖然最后由于

57、每個(gè)路口的綠燈放行時(shí)間延長(zhǎng)而使等候的時(shí)間變長(zhǎng), 但比塞車(chē)等候的時(shí)間短得多。本系統(tǒng)的特點(diǎn)是成本低, 控制準(zhǔn)確。圖3.5 十字路口車(chē)輛通行順序十字路口車(chē)輛通行順序由于南往北, 北往南時(shí)間顯示相同, 所以只要一個(gè)方向多車(chē), 下次時(shí)間就要加長(zhǎng)東往西,西往東也一樣。a1104開(kāi)關(guān)型霍爾的工作原理霍爾傳感器的外形圖和與磁場(chǎng)的作用關(guān)系。磁鋼用來(lái)提供霍爾能感應(yīng)的磁場(chǎng),當(dāng)霍爾元件以切割磁力線(xiàn)的方式相對(duì)磁鋼運(yùn)動(dòng)時(shí),在霍爾輸出端口就會(huì)有電壓輸出,所以霍爾傳感器和磁鋼需要配對(duì)使用。在非磁材料的圓盤(pán)邊上粘貼一塊磁鋼,霍爾傳感器固定在圓盤(pán)外緣附近。圓盤(pán)每轉(zhuǎn)動(dòng)一圈,霍爾傳感器便輸出一個(gè)脈沖。通過(guò)單片機(jī)測(cè)量產(chǎn)生脈沖的頻率,就

58、可以得出圓盤(pán)的轉(zhuǎn)速。同樣道理,根據(jù)圓盤(pán)(車(chē)輪)的轉(zhuǎn)速,再結(jié)合圓盤(pán)的周長(zhǎng)就是計(jì)算出物體的位移。如果要增加測(cè)量位移的精度,可以在圓盤(pán)(車(chē)輪)上多增加幾個(gè)磁鋼。車(chē)流量檢測(cè)傳感器可對(duì)單片機(jī)控制系統(tǒng)提供實(shí)時(shí)數(shù)據(jù),系統(tǒng)對(duì)所獲數(shù)據(jù)進(jìn)行模糊處理。實(shí)現(xiàn)紅綠燈模糊控制必須解決對(duì)當(dāng)前十字路口的交通狀況的檢測(cè),并完成如下工作:1.輸入量的采集,系統(tǒng)采集兩個(gè)輸入量,即兩個(gè)方向的車(chē)流量。2.輸出量的確認(rèn),即紅綠燈時(shí)間值。3.設(shè)計(jì)將輸入映照到輸出的模糊規(guī)則。4.決定被激活模糊規(guī)則的組合方式和清晰處理,生成精確的輸出控制信號(hào)。為了采集上述數(shù)據(jù),在十字路口的四側(cè)共設(shè)置 2 個(gè)傳感器。分別檢測(cè)兩個(gè)方向的車(chē)流量,車(chē)流量檢測(cè)不是最終

59、目的,在每半個(gè)循環(huán)周期,系統(tǒng)會(huì)檢測(cè)到兩個(gè)方向的車(chē)流量數(shù)據(jù),除以時(shí)間,那么就可以得到單位時(shí)間的車(chē)流量,然后比較兩個(gè)方向單位時(shí)間車(chē)流量多少,以確定下一次循環(huán)紅綠燈時(shí)間,達(dá)到調(diào)整的目的。表 3.3 顯示時(shí)間選擇車(chē)輛情況本次該方向通行時(shí)間下次表該方向通行時(shí)間本次該方向通行時(shí)間本次該方向通行時(shí)間南往北少車(chē),北往南少車(chē)20 秒20 秒40 秒20 秒南往北少車(chē),北往南多車(chē)20 秒40 秒40 秒40 秒南往北多車(chē),北往南少車(chē)20 秒40 秒40 秒40 秒南往北多車(chē),北往南多車(chē)20 秒40 秒40 秒40 秒東往西少車(chē),西往東少車(chē)20 秒20 秒40 秒20 秒東往西少車(chē),西往東多車(chē)20 秒40 秒40

60、秒40 秒東往西多車(chē),西往東少車(chē)20 秒40 秒40 秒40 秒東往西多車(chē),西往東多車(chē)20 秒40 秒40 秒40 秒車(chē)流量檢測(cè)是用外部中斷引腳 p06,p07 捕獲到一個(gè)低電平,則進(jìn)入相應(yīng)的中斷服務(wù)子程序,在子程序中,用 r5 計(jì)南北向車(chē)流量,用 r6 計(jì)東西向車(chē)流量,設(shè)車(chē)向標(biāo)志位為 01h,判斷車(chē)向. 根據(jù)紅綠燈時(shí)間調(diào)整原理,一個(gè)周期下來(lái),r5,r6 中分別存儲(chǔ)著南北,東西的車(chē)流量,接下來(lái)求單位時(shí)間車(chē)流量,此時(shí)南北向時(shí)間,東西向時(shí)間分別存儲(chǔ)在 r0,r1 中,則兩個(gè)方向的流量比例為(r5/r0)/(r6/r1)=(r5*r1)(r6*r0),顯然該比例是 1 左右?guī)?shù)的值,然而單片機(jī)程序

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論