八路定時搶答器課程設計_第1頁
八路定時搶答器課程設計_第2頁
八路定時搶答器課程設計_第3頁
八路定時搶答器課程設計_第4頁
八路定時搶答器課程設計_第5頁
已閱讀5頁,還剩27頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、西華大學課程設計說明書 八路定時搶答器的設計摘 要:眾所周知,定時搶答器在我們的生活中的運用越來越廣泛。本論文主要是應用常用集成器件來設計數碼顯示的八路搶答器。該搶答器除具有基本的搶答功能外,還具有定時搶答和報警功能。主持人通過時間預設開關預設供搶答的時間,系統(tǒng)將完成自動倒計時。若在規(guī)定的時間內有人搶答,則搶答器正常工作;若在規(guī)定的時間內無人搶答、或搶答還沒開始就有選手搶答,則系統(tǒng)中的揚聲器將發(fā)響,提示主持人本輪搶答無效,實現(xiàn)報警功能。關鍵詞:八路, 搶答器,定時,報警abstract: as we known, intelligence answering racer has being w

2、idely used in our daily life .in this paper, the 8-wire answering races function includes timing and alarming besides the basic function of an answering racer. the host sets the provided time for the answering race through the time-setting switch, after this the system will count down the time autom

3、atically. if anybody answer the question on time, the answering races will work normally; if nobody answer the question on time or some person press the button of the answering race before it begins working, the alarm will give out some sound, helping the host know the race in this turn is of no use

4、, so the function of alarming is achieved. .keywords:8-wire, answering racer, timing, alarming目 錄1前言12總體方案設計22.1方案比較22.1.1方案一22.1.2方案二32.1.3方案三32.2方案論證42.3方案選擇43單元模塊設計53.1各單元模塊功能介紹及電路設計53.1.1搶答器模塊設計53.1.2可預置時間的定時電路模塊設計63.1.3報警電路模塊設計73.1.4時序控制電路83.2電路參數的計算及元器件的選擇83.2.1搶答電路93.2.2定時電路93.2.3報警電路93.2.4時序

5、控制電路93.3特殊器件的介紹93.3.1優(yōu)先編碼器74ls148器件介紹93.3.2譯碼器74ls48器件介紹113.3.3計數器74ls192器件介紹113.3.4 555定時器件介紹133.3.5觸發(fā)器74ls279器件介紹153.3.6單穩(wěn)態(tài)觸發(fā)器74ls121154系統(tǒng)調試174.1調試環(huán)境174.2硬件調試175系統(tǒng)功能、指標參數195.1系統(tǒng)能實現(xiàn)的功能195.1.1基本功能195.1.2擴展功能195.2系統(tǒng)指標參數測試195.3對系統(tǒng)的一些技術指標進行測試205.4系統(tǒng)功能及指標參數分析216設計總結227謝辭(致謝)238參考文獻249附錄25附錄1 總原理圖25附錄2 仿

6、真圖26 1 前言在我們的生活當中,經常會參加一些文體文藝等活動。比如公司、學校和電視臺等單位舉辦的各種智力競賽, 搶答器是必要設備。在我校舉行的各種競賽中我們經常看到有搶答的環(huán)節(jié),舉辦方多數采用讓選手通過舉答題板的方法判斷選手的答題權,這在某種程度上會因為主持人的主觀誤斷造成比賽的不公平性。為解決這個問題,我們小組準備就本次課程設計的機會制作一個成本低但又能滿足學校需要的八路數顯搶答器。設計背景:定時搶答器是我們生活中經常用到的簡易工具。在平常的數模電學習過程中對其有了一定認識和了解,其設計要求主要是對一些常用的集成器件的應用,為了檢驗我們的知識掌握程度和鍛煉我們的動手實踐能力,提出了八路定

7、時搶答器的設計方案。設計目的:1) 主體電路搶答器具有鎖存與顯示功能,能完成八路搶答;2) 擴展電路定時器能完成搶答題目的倒計時;3) 報警電路能完成違規(guī)競賽時的發(fā)聲報警;實施計劃:1) 先在草稿紙上畫出主體及擴展電路的大體框圖;2) 選擇相關電子元件并查閱其參數;3) 用tina軟件做出電路圖;必備條件:計算機、tina8.0軟件2 總體方案設計通過查閱大量相關技術資料,并結合自己的實際知識,我主要提出了兩種技術方案來實現(xiàn)本設計要求的功能,下面我將首先對這兩種方案的組成框圖和實現(xiàn)原理分別進行說明,并分析比較它們的特點,然后闡述最終選擇方案的原因。如下: 2.1 方案比較通過對三種方案的比較,

8、我發(fā)現(xiàn)主要是在主體電路中鎖存器與優(yōu)先編碼器的應用先后問題,從理論上看,似乎兩種方法的效果都一樣,即當選手按下?lián)尨鹌骱笫窍葘ζ湎鄳木幪柧幋a后鎖存還是先把選手號鎖存再編碼的問題。2.1.1 方案一方案一原理框圖如圖2-1所示。 譯碼顯示譯碼電路鎖存器優(yōu)先編碼電路搶答按鈕 報警電路主持人控制開關控制電路 圖2-1 方案一的原理框圖如圖2-1所示為總體方框圖。其工作原理為:接通電源后,主持人將開關撥到"清零"狀態(tài),搶答器處于禁止狀態(tài);主持人將開關置”開始"狀態(tài),宣布"開始"搶答器工作,選手在搶答。搶答器完成:鎖存、編碼、編號顯示、揚聲器提示,當一輪搶

9、答之后,如果再次搶答必須由主持人再次操作"清除"和"開始"狀態(tài)開關。2.1.2 方案二方案二原理框圖如圖2-2所示。譯碼顯示譯碼電路鎖存器優(yōu)先編碼電路搶答按鈕 控制電路報警電路主持人控制開關 圖2-2 方案二的原理框圖其工作原理與方案一大致相同,所不一樣的是當有選手搶答時,先通過編碼器編碼,然后由控制電路控制鎖存器對其對應的編號進行鎖存,最后由譯碼電路譯碼最后顯示出成功搶答的選手的編號。2.1.3 方案三方案三原理框圖如圖2-3所示。譯碼顯示譯碼電路鎖存器優(yōu)先編碼電路搶答按鈕 控制電路報警電路主持人控制開關 定時電路秒脈沖產生電路顯示電路譯碼電路圖2-3

10、 方案三的原理框圖如圖2-3所示為總體方框圖。其工作原理為:接通電源后,主持人將開關撥到"清零"狀態(tài),搶答器處于禁止狀態(tài),編號顯示器滅燈,定時器顯示設定時間;主持人將開關置;開始"狀態(tài),宣布"開始"搶答器工作。定時器倒計時,揚聲器給出聲響提示,選手在定時時間內搶答時,搶答器完成:優(yōu)先判斷、編號鎖存、編號顯示、揚聲器提示,當一輪搶答之后,定時器停止、禁止二次搶答,如果再次搶答必須由主持人再次操作"清除"和"開始"狀態(tài)開關。2.2 方案論證通過對以上三種方案的仔細分析及驗證,發(fā)現(xiàn)方案一和二存在的一些缺點和不足

11、之處,首先是由控制電路控制鎖存器來進行對其他選手的禁止鎖存功能不好實現(xiàn);其次是在第一種方案中優(yōu)先編碼器失去了實際工作意義,而其鎖存器對選手進行鎖存時存在競爭冒險,可能導致?lián)尨鹌鞑荒苷9ぷ?。方案三增加了定時的功能,并是先編碼后鎖存。相較而言,方案三更優(yōu)。2.3 方案選擇基于以上原因故選擇了方案三3 單元模塊設計本節(jié)主要介紹系統(tǒng)各單元模塊的具體功能、電路結構、工作原理、以及各個單元模塊之間的聯(lián)接關系;同時本節(jié)也會對相關電路中的參數計算、元器件選擇、以及核心器件進行必要說明。3.1 各單元模塊功能介紹及電路設計本系統(tǒng)主要分為三個單元模塊,它們分別是:搶答器電路模塊、可預置時間的定時模塊、和報警電路

12、模塊。各單元模塊功能及相關電路的具體說明如下。3.1.1 搶答器模塊設計從以下幾方面進行說明:1、此模塊的功能有兩個,一是能分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號,供譯碼顯示電路用;二是要使其他選手的按鍵無效。2、此模塊的組成由搶答按鈕、優(yōu)先編碼器、鎖存器、譯碼電路和顯示電路組成;工作原理是:開關s置于"清除"端時,rs觸發(fā)器的 r、s端均為0,4個觸發(fā)器輸出置0,使74ls148的優(yōu)先編碼工作標志端0,使之處于工作狀態(tài)。當開關s置于"開始"時,搶答器處于等待工作狀態(tài),當有選手將搶答按鍵按下時(如按下s5),74ls148的輸出經rs鎖存后,ctr

13、=1,rbo =1,七段顯示電路74ls48處于工作狀態(tài),4q3q2q=101,經譯碼顯示為“5”。此外,ctr,使74ls148 優(yōu)先編碼工作標志端,處于禁止狀態(tài),封鎖其他按鍵的輸入。當按鍵松開即按下時,74ls148的 此時由于仍為ctr,使優(yōu)先編碼工作標志端,所以74ls148仍處于禁止狀態(tài),確保不會出二次按鍵時輸入信號,保證了搶答者的優(yōu)先性。如有再次搶答需由主持人將s開關重新置“清除”然后再進行下一輪搶答。3、模塊的結構框圖譯碼顯示譯碼電路鎖存器優(yōu)先編碼電路搶答按鈕4、模塊的具體電路 圖3-1搶答器基本結構電路圖3.1.2 可預置時間的定時電路模塊設計從以下幾方面進行說明:1、此模塊的

14、功能有兩個,一是完成對每個題目的倒計時;二是有提示時間信號燈。 2、此模塊的組成由控制開關、十進制計數器、譯碼電路、顯示電路和555定時器組成;工作原理是由555定時器組成的多諧振蕩器產生秒脈沖信號接入計數器的時鐘脈沖輸入端,讓其能按秒表一樣進行十進制的加減計數,再由譯碼器譯碼最后由數顯電路顯示出時間。3、模塊的結構框圖控制端多諧振蕩器十進制計數器譯碼電路譯碼顯示4、模塊的具體電路圖3-2 可預置時間的定時電路圖3.1.3 報警電路模塊設計從以下幾方面進行說明:1、此模塊的功能有三個,一是主持人將控制開關撥到“開始”位置時,揚聲器發(fā)聲,搶答電路和定時電路進入正常工作狀態(tài);二是當參賽選手手動按搶

15、答鍵時,揚聲器發(fā)聲,欠發(fā)達電路和定時電路停止工作;三是當設定的搶答時間到,無人搶答時,揚聲器發(fā)聲,同時搶答電路和定時電路停止工作。2、此模塊的組成由555定時器、驅動三極管和揚聲器等器件組成;工作原理是其中ne555構成多諧振蕩器,振蕩頻率 1.43(ri2r2)c,其輸出信號經三極管推動揚聲器。pr為時序控制電路輸出的控制信號,當pr為高電平時,多諧振蕩器工作,反之,電路停振。3、模塊的具體電路圖3-3 報警電路圖3.1.4 時序控制電路時序控制電路功能: 主持人將控制開關撥到“開始”位置時,揚聲器發(fā)聲,搶答電路和定時電路進入正常搶答工作狀態(tài)。 當參賽選手按動搶答鍵時,揚聲器發(fā)聲,搶答電路和

16、定時電路停止工作。 當設定的搶答時間到,無人搶答,揚聲器發(fā)聲,同時搶答器和定時電路停止工作。 圖3-4 時序控制電路圖3.2 電路參數的計算及元器件的選擇下面就相關電路中的參數計算以及元器件的選擇進行說明。3.2.1 搶答電路此部分電路用的器件主要包括譯碼電路74ls48、集成鎖存器74ls297、優(yōu)先編碼器74ls148和數顯七段顯示器。因為這些數字集成器件我們在平時的學習中經常接觸到,對其邏輯功能和一般的應用都較熟悉,故選擇這些元器件。3.2.2 定時電路此部分電路應用到的器件主要包括兩片譯碼器74ls48、兩片集成計數器74ls192、555定時器所組成的多諧振蕩器和七段顯示器。3.2.

17、3 報警電路此部分電路主要用到的器件除基本的電阻電容、三極管和揚聲器外,也是555定時器的應用。3.2.4 時序控制電路此部分電路主要用到的器件單穩(wěn)態(tài)觸發(fā)器74ls121。3.3 特殊器件的介紹本系統(tǒng)中主要使用了如下一些功能器件:74ls148、74ls48、74ls192和555定時器。下面就這些器件的功能特點、主要參數和使用方法作相應說明。3.3.1 優(yōu)先編碼器74ls148器件介紹優(yōu)先編碼器在同一時間內,當有多個輸入信號請求編碼時,只對優(yōu)先級別高的信號進行編碼的邏輯電路,稱為優(yōu)先編碼器。優(yōu)先編碼器74ls148是一種8線3線優(yōu)先編碼,下面以74ls148為例,介紹它的邏輯功能。表3-1是

18、其真值表,圖3-5(a)(b)是其功能簡圖和管腳引線圖。表3-1 74ls148的功能真值表 (a)功能簡圖 (b)管腳圖 圖3-5 功能簡圖和管腳引線74ls148的輸入端和輸出端低電平有效。圖3-5(a)是其功能簡圖,圖中電源和地未畫,是輸入信號,為三位二進制編碼輸出信號,1時,編碼器禁止編碼,當0時,允許編碼。是技能輸出端,只有在0,而均無編碼輸入信號時為0。為優(yōu)先編碼輸出端,在0而的其中之一有信號時,0。各輸入端的優(yōu)先順序為:級別最高,級別最低。如果0(有信號),則其它輸入端即使有輸入信號,均不起作用,此時輸出只按編碼,000。當有多個外設申請中斷時,優(yōu)先編碼器總是給優(yōu)先級別高的設備先

19、編碼。3.3.2 譯碼器74ls48器件介紹設計中選用的譯碼器型號是74ls48(共陰),其引腳排列如圖所示,其中、 bcd碼輸入端,、 譯碼輸出端,輸出“1”有效,用來驅動共陰極led數碼管。 測燈輸入端,“0”時,譯碼輸出全為“1”,數碼管七段同時電亮,以檢查數碼管各段能否正常發(fā)光。 滅燈輸入端,“0”時,譯碼輸出全為“0”。 作為輸出端使用時,稱滅“0”輸出端,在a=b=c=d=0時,而且 =0時,才會輸出低電平,表示譯碼器把不希望顯示的零熄滅了。熄零輸入端。用來熄滅不希望顯示的零。 圖3-6 74ls48管腳圖 3.3.3 計數器74ls192器件介紹74ls192是十進制可編程同步加

20、鎖計數器,它采用8421碼二-十進制編碼,并具有直接清零、置數、加鎖計數功能。它的邏輯功能真值表如表3-2所示,外引腳和工作波形如圖3-7所示。 表3-2 74ls192功能真值表圖3-7 74ls192引腳及工作波形圖從表3-2可見: 1) 是異步清零端,且高電平有效;2) 是并行置數端,低電平有效,且在有效,是進位端,是借位端;3) 和是兩個時鐘脈沖。當,時鐘脈沖由端接入,并且時,74ls192處于加法計數狀態(tài);當脈沖從端輸入,且時,74ls192處于減法計數狀態(tài);時,計數器處于保持狀態(tài)。從圖3-7可見:74ls192具有下述功能: 異步清零:cr=1,q3q2q1q0=0000 異步置數

21、:cr=0,ld=0,q3q2q1q0=d3d2d1d0保持: cr=0,ld=1,cpu=cpd=1,q3q2q1q0保持原態(tài) 加計數:cr=0, ld=1,cpu=cp,cpd=1,q3q2q1q0按加法規(guī)律計數 減計數:cr=0, ld=1,cpu=1,cpd= cp,q3q2q1q0按減法規(guī)律計數74ls192是雙時鐘方式的十進制可逆計數器。 cpu為加計數時鐘輸入端,cpd為減計數時鐘輸入端。ld為預置輸入控制端,異步預置。 cr為復位輸入端,高電平有效,異步清除。 co為進位輸出:1001狀態(tài)后負脈沖輸出.。bo為借位輸出:0000狀態(tài)后負脈沖輸出。3.3.4 555定時器件介紹5

22、55定時器(又稱時基電路)是一個模擬與數字混合型的集成電路,它主要由兩個高精度電壓比較器a1、a2,一個rs觸發(fā)器,一個放電三極管和三個5k電阻的分壓器而構成。其內部組成框圖如圖3-3所示,功能表如表3-3所示。 圖3-8 555定時器組成框圖表3-3 555定時器的功能表清零端高觸發(fā)端th低觸發(fā)端qn+1放電管t功能00導通直接清零10導通置011截止置11qn不變保持 它的各個引腳功能如下:1) 1腳:外接電源負端vss或接地,一般情況下接地。2) 8腳:外接電源vcc,雙極型時基電路vcc的范圍是4.5 16v,cmos型時基電路vcc的范圍為3 18v。一般用5v。3) 3腳:輸出端v

23、o4) 2腳:低觸發(fā)端5) 6腳:th高觸發(fā)端6) 4腳:是直接清零端。當端接低電平,則時基電路不工作,此時不論、th處于何電平,時基電路輸出為“0”,該端不用時應接高電平。7) 5腳:vc為控制電壓端。若此端外接電壓,則可改變內部兩個比較器的基準電壓,當該端不用時,應將該端串入一只0.01f電容接地,以防引入干擾。8) 7腳:放電端。該端與放電管集電極相連,用做定時器時電容的放電。3.3.5 觸發(fā)器74ls279器件介紹原理:在74ls279中,由于4回路中2回路置位端子為兩個,所以使用其一時,整理兩個置位輸入作為1個使用,或將另一個輸入固定為“h”使用。另外,作為稍微變化74ls279 的

24、使用方法,也可將3組作為rs鎖存器使用,剩余的rs鎖存器作為2輸入nand門電路使用,復位輸入例如管腳固定為”l”時其輸入為”h”,所以可構成將和作為輸入,輸出為的2輸入nand,如圖3-9所示。 圖3-9 74ls279管腳引線圖3.3.6 單穩(wěn)態(tài)觸發(fā)器74ls121ttl集成器件74121是一種不可重復觸發(fā)集成單穩(wěn)態(tài)觸發(fā)器 關于定時:單穩(wěn)態(tài)電路的定時取決于定時電阻和定時電容的數值。74121的定時電容連接在芯片的10、11引腳之間。若輸出脈寬較寬,而采用電解電容時,電容c 的正極連接在cext輸出端(10腳)。對于定時電阻,使用者可以有兩種選擇: ·采用內部定時電阻(2 k),此

25、時將9號引腳(rint)接至電源vcc(14腳)。·采用外接定時電阻(阻值在1.440k之間),此時9腳應懸空,電阻接在11、14腳之間。74121的輸出脈沖寬度tw0.7rc。 通常r的數值取在230k之間,c 的數值取在10pf10f之間,得到的的取值范圍可達到20ns200ms。 該式中的r可以是外接電阻rext,也可以是芯片內部電阻rint(約2k),如希望得到較寬的輸出脈沖,一般使用外接電阻。圖3-10 74ls121管腳引線圖表3-4 74ls121功能表4 系統(tǒng)調試4.1 調試環(huán)境本文主要進行的仿真模塊是搶答器電路模塊和可預置時間的定時模塊。所用的軟件平臺是tina ,

26、它是一個為設計模擬和分析模擬數字以及混合型電子電路用的強大的而又價格適中的軟件包,分析的結果可展現(xiàn)在完善的圖表中或顯示在一系列虛擬設備里。tina方便使用的電路圖編輯器可迅速地建立任何電路。4.2 硬件調試搶答器電路模塊,調試結果如下:可預置時間的定時模塊,調試結果如下: 調試方法是在軟件見面上把仿真按鈕的模式設成transient,然后按下仿真按鈕即可完成仿真。如果在次過程中沒達到預期的效果,就可用探針檢查各處電位及連線的接觸問題,然后再修正再調試反復進行直到達到預期的效果。5 系統(tǒng)功能、指標參數5.1 系統(tǒng)能實現(xiàn)的功能本設計能實現(xiàn)的功能主要包括兩個即基本功能和擴展功能。如下:5.1.1 基

27、本功能(1)設計一個智力競賽搶答器,可同時供8名選手或8個代表隊參加比賽,有自己編號,其編號分別是0、1、2、3、4、5、6、7,各用一個搶答按鈕,按鈕的編號與選手的編號相對應,分別是s0、s1、s2、s3、s4、s5、s6、s7。(2)給節(jié)目主持人設置一個控制開關,用來控制系統(tǒng)的清零(編號顯示數碼管滅燈)和搶答的開始。(3)搶答器具有數據鎖存和顯示功能。搶答開始,若有選手按動搶答按鈕,編號立即鎖存,并在led數碼管上顯示選手的編號一直保持到主持人將系統(tǒng)清零為止。5.1.2 擴展功能(1)搶答器具有定時搶答,且一次搶答的時間長度可以由主持人設定。當節(jié)目主持人啟動“開始”鍵后,要求定時器立即減計

28、時,并用顯示器顯示,同時揚聲器發(fā)出短暫的音響。(2)參賽選手在設定的時間內搶答,搶答有效;有效搶答結束定時器停止工作,顯示器上顯示選手的編號和搶答時刻的時間,并保持到主持人將系統(tǒng)清零為止。(3)如果定時搶答的時間已到,卻沒有選手搶答,本次搶答無效,系統(tǒng)短暫報警,并封鎖輸入電路,禁止選手超時后搶答,時間顯示器上顯示00。5.2 系統(tǒng)指標參數測試 通過對系統(tǒng)的一些技術指標進行了測試,測試的方法如下: (1)測試當主持人把開關撥到清零端時顯示器是否為零;(2)測試當主持人把開關撥到開始時是否能正常搶答,搶答信號燈是否亮; (3)測試當有幾個人同時搶答時,是否鎖存器只鎖存最先搶答的選手,譯碼器是否正常

29、譯碼,顯示出對應的選手編號;(4)測試在數據預置端輸入的二進制數是否正常預置,在看脈沖信號燈是否正常閃亮,計時器是否正常工作。得出的測試數據與系統(tǒng)要求基本一致,其測試參數記錄表3-5如下:表3-5序號編號名稱數量說明174ls192十進制可逆計數器2片工作電壓5v2ne555555定時器2片工作電壓5v374ls48七路顯示譯碼器3片工作電壓5v474ls148優(yōu)先編碼器1片工作電壓5v574ls279觸發(fā)器1片工作電壓5v67400與非門2片工作電壓5v79013三極管1個8r3、r4510電阻3只9r268k電阻2只10r115k電阻 2只11r6100k電阻1只12r51k電阻2只13r

30、710k電阻10只14c10.1uf2只15c10uf1只16c2100uf1只17speaker揚聲器1只8,6v18sw彈片開關7只19led發(fā)光二極管2只5.3 對系統(tǒng)的一些技術指標進行測試通過與設計要求對比進行分析主要有以下幾點:1)編號顯示分析:我們設計的編號對應是正確的,是0-7八路編號。七段顯示器也能正確的現(xiàn)實出來與之對應的編號。但是我們在搶答的實際中沒有0號選手,所以需要進的是讓顯示顯示1-8;2)定時分許:在主持人設定時間后,我們的電路能夠正確的執(zhí)行減數計數,可以在搶答競賽過程中實現(xiàn)倒計時。定時電路需要改進的是可以實現(xiàn)加法計數器;3)報警分許:此部分實現(xiàn)的是報警功能,由于tina軟件本身具有局限性,我們的報警電路在設計過程中遇到困難,報警裝置不能正確發(fā)聲,但是邏輯燈測試正確的。此部分還需要改進,運用到我們的搶答電路中去;總之,我們的設計可以基本實現(xiàn)搶答器要求的功能,但是,由于時間緊迫,軟件的局限性等條件的限制,要設計出完美搶答器還需要下來繼續(xù)去改進實現(xiàn)。5.4 系統(tǒng)功能及指標參數分析通過與設計要求對比進行分析主要有以下幾點:1)編號顯示分析:我們設計的編

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論