紅外傳感器制作_第1頁(yè)
紅外傳感器制作_第2頁(yè)
紅外傳感器制作_第3頁(yè)
紅外傳感器制作_第4頁(yè)
紅外傳感器制作_第5頁(yè)
已閱讀5頁(yè),還剩19頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、2.3設(shè)計(jì)框圖本次設(shè)計(jì)是基于STC89C5單片機(jī)的電動(dòng)機(jī)保護(hù)裝置,包括穩(wěn)壓模塊、按鍵控制、紅 外發(fā)射接收模塊、模數(shù)轉(zhuǎn)換、電機(jī)部分。圖2.1電機(jī)保護(hù)裝置的總體框圖穩(wěn)壓模塊2是經(jīng)變壓器、濾波、穩(wěn)壓后輸出電壓5V,為整個(gè)電路提供電源。按鍵控制根據(jù)設(shè)計(jì)需要設(shè)置工作模式和調(diào)節(jié)安全距離所用,主要用于控制整個(gè)裝 置的操作。模數(shù)轉(zhuǎn)換是整個(gè)電路的橋梁,主要把模擬量轉(zhuǎn)換為數(shù)字量。本設(shè)計(jì)的 電機(jī)部分是由LED模擬電動(dòng)機(jī)的工作和停止的。3 主要器件介紹及工作原理3.1 紅外傳感器的簡(jiǎn)介紅外技術(shù)是最近幾十年中發(fā)展起來(lái)的一門新興技術(shù)。它已在科技、國(guó)防和工農(nóng)業(yè)生產(chǎn)等領(lǐng)域獲得廣泛的應(yīng)用。 紅外傳感器的應(yīng)用主要體現(xiàn)在以下幾個(gè)方

2、面:1、紅外輻射計(jì):用于輻射和光譜輻射測(cè)量。2、搜索和跟蹤系統(tǒng): 用于搜索和跟蹤紅外目標(biāo), 確定其空間位置并對(duì)其運(yùn)動(dòng)進(jìn)行 跟蹤。3、熱成像系統(tǒng):能形成整個(gè)目標(biāo)的紅外輻射分布圖像。4、紅外測(cè)距系統(tǒng):實(shí)現(xiàn)物體間距離的測(cè)量。5、通訊系統(tǒng):紅外線通信作為無(wú)線通信的一種方式。6、混合系統(tǒng):是指以上各類系統(tǒng)中的兩個(gè)或多個(gè)的組合。3.1.1 紅外對(duì)管測(cè)距原理紅外線測(cè)距是利用紅外光來(lái)傳送光波信號(hào),因此,作為紅外測(cè)距中的紅外光發(fā)射器 件的紅外發(fā)光二極管和紅外光接收器件的紅外光敏管,是構(gòu)成紅外測(cè)距系統(tǒng)的基本器 件。 如圖 3.1 所示是紅外對(duì)管的實(shí)物圖。圖 3.1 紅外對(duì)管實(shí)物圖傳感器的探測(cè)距離較短,一般在幾十厘

3、米之內(nèi),而這個(gè)測(cè)距范圍是能夠滿足設(shè)計(jì)方 案要求的。紅外傳感器的測(cè)距基本原理為紅外發(fā)射電路的紅外發(fā)光管發(fā)出紅外光,經(jīng)障 礙物反射后,由紅外接收電路的光敏接收管接收前方物體反射光,據(jù)此判斷前方是否有 障礙物。根據(jù)發(fā)射光的強(qiáng)弱可以判斷物體的距離,由于接收管接收的光強(qiáng)隨是隨反射物 體的距離變化而變化的,因而,距離近則反射光強(qiáng),距離遠(yuǎn)則反射光弱。因?yàn)榧t外線是介于可見(jiàn)光和微波之間的一種電磁波,因此,它不僅具有可見(jiàn)光直線 傳播、反射、折射等特性,還具有微波的某些特性,如較強(qiáng)的穿透能力和能貫穿某些不 透明物質(zhì)等。紅外傳感器包括紅外發(fā)射器件和紅外接收器件。自然界的所有物體只要溫 度高于絕對(duì)零度都會(huì)輻射紅外線,因

4、而,紅外傳感器須具有更強(qiáng)的發(fā)射和接收能力。3.1.2 紅外線發(fā)射器件的結(jié)構(gòu)紅外線發(fā)射器件是最長(zhǎng)用的為紅外發(fā)光二極管, 它與普通發(fā)光二極管的結(jié)構(gòu) 原理 以及制作工藝基本相同,是只有一個(gè) PN 結(jié)的半導(dǎo)體器件,只是所有的材料不同,制造 紅外發(fā)光二極管砷化鉀,砷鋁鉀等,其中應(yīng)用最多的是砷化鉀。紅外發(fā)光二極管一般采 用環(huán)氧樹(shù)脂,玻璃,塑料等封裝,除白色透明材料封裝外,還可見(jiàn)到用藍(lán)色透明材料封 裝的,。紅外發(fā)光二極管按發(fā)光功率的大小,可分為小功率,中功率,大功率三種。另 外,紅外發(fā)光二極管除頂面發(fā)光型外,還有側(cè)面發(fā)光型。小功率管一般采用全塑封裝, 也有部分是采用陶瓷底座,頂端用玻璃或環(huán)氧樹(shù)脂透鏡封裝的,

5、中大功率管一般采用帶 螺紋金屬底座,以便安裝散熱片。隨著發(fā)光功率得提高,相應(yīng)體積的管子也增大。3.1.3 紅外發(fā)光二極管測(cè)試方法紅外發(fā)光二極管測(cè)試方法非常簡(jiǎn)單,用萬(wàn)用表RX1K當(dāng)測(cè)量,正向電阻在30KQ左 右,反向電阻在200KQ以上的管子是好的。反向電阻越大,漏電流越小,質(zhì)量越好。 反之,若反向電阻只有幾十 KQ,說(shuō)明管子質(zhì)量不好,但可使用。若管子的正向的反向 電阻都為無(wú)窮大或?yàn)榱?,說(shuō)明管子是廢品,不能使用。3.2 模數(shù)轉(zhuǎn)換芯片3.2.1 TLC2543 的簡(jiǎn)介TLC2543是TI公司的12位串行模數(shù)轉(zhuǎn)換器,如圖所示 TLC2543的引腳圖,其使用 開(kāi)關(guān)電容逐次逼近技術(shù)完成 A/D轉(zhuǎn)換過(guò)程。

6、由于是串行輸入結(jié)構(gòu),能夠節(jié)省51系列單 片機(jī) I/O 資源;且價(jià)格適中,分辨率較高,因此在儀器儀表中有較為廣泛的應(yīng)用。如表3.1TLC2543引腳功能,TLC2543的特點(diǎn)如下:1、12位分辯率A/D轉(zhuǎn)換器;2、在工作溫度范圍內(nèi)10卩s轉(zhuǎn)換時(shí)間;3、11個(gè)模擬輸入通道;4、3路內(nèi)置自測(cè)試方式;5、采樣率為66kbps;6、線性誤差土 1LSBmax7、有轉(zhuǎn)換結(jié)束輸出EOC8、具有單、雙極性輸出;9、可編程的MS或LSB前導(dǎo);10、可編程輸出數(shù)據(jù)長(zhǎng)度。表3.1 TLC2543引腳功能引腳號(hào)名稱I/O說(shuō)明模擬量輸入端。11路輸入信號(hào)由內(nèi)部多路19,11,12AIN0AIN10I器選通。片選端口。在

7、 CS端由咼變低時(shí),內(nèi)部計(jì)15CSI數(shù)器復(fù)位。由低變高時(shí),在設(shè)定時(shí)間內(nèi)禁止 DATAINPU和 I/O CLOCK17DATANPUTI串行數(shù)據(jù)輸入端。A/D轉(zhuǎn)換結(jié)果的三態(tài)串行輸出端。CS為16DATAOUTO高時(shí)處于高阻態(tài),為低電平時(shí)為激活態(tài)19EOCO轉(zhuǎn)換結(jié)束端,在轉(zhuǎn)換結(jié)束后為高電平AINO j vccAINJ LEOCAIN2 VO CLOCKAIN3 TLC3 DATA INPUTAJN4 25431 DATA OUTPUTAINJ CSAIN6 CREF+AfN7 1 REF-AJN« C AIN10GND QAM圖3.2 TLC2543引腳圖3.2.2 工作時(shí)序TLC25

8、43每次轉(zhuǎn)換和數(shù)據(jù)傳送使用16個(gè)時(shí)鐘周期,且在每次傳送周期之間插入/CS時(shí)序如圖3.3所示。在TLC2543的/ CS變低時(shí)開(kāi)始轉(zhuǎn)換和傳送過(guò)程,1/ O CLOCK 的前8個(gè)上升沿將8個(gè)輸入數(shù)據(jù)位鍵入輸入數(shù)據(jù)寄存器,同時(shí),將前一次轉(zhuǎn)換的數(shù)據(jù) 的其余11位移出DATAOT端,在I/ O CLOCK下降沿時(shí)數(shù)據(jù)變化。當(dāng)CS為高時(shí),I/數(shù)據(jù)寄存器的前4位(D7D4)數(shù)據(jù)4,當(dāng)其為0000到1010間的數(shù)據(jù)時(shí),代表選 中11個(gè)模擬通道的某一個(gè)通道呵1011到1110代表分別選中測(cè)試電壓(Vref-+ Vref+)12、Vref -以及Vref +。D3、D2表示輸出數(shù)據(jù)長(zhǎng)度,D1表示輸出數(shù)據(jù)的格式 (

9、0 為MSB, 1為L(zhǎng)SB) , DO就決定了 TLC2543的工作方式。3.3 LCD1602 的應(yīng)用1602 液晶也叫 1602 字符型液晶,它是一種專門用來(lái)顯示字母、數(shù)字、符號(hào)等的點(diǎn) 陣型液晶模塊。它由若干個(gè)5X7或者5X11等點(diǎn)陣字符位組成,每個(gè)點(diǎn)陣字符位都可以 顯示一個(gè)字符,每位之間有一個(gè)點(diǎn)距的間隔,每行之間也有間隔,起到了字符間距和行 間距的作用,正因?yàn)槿绱怂运荒芎芎玫仫@示圖形。3.3.1 字符操作控制1602液晶模塊內(nèi)部的字符發(fā)生存儲(chǔ)器(CGRO已經(jīng)存儲(chǔ)了 160個(gè)不同的點(diǎn)陣字符圖 形,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫(xiě)、常用的符號(hào)、和日文假名等,每一 個(gè)字符都有一個(gè)固

10、定的代碼,比如大寫(xiě)的英文字母“ A”的代碼是01000001B(41H),顯 示時(shí)模塊把地址41H中的點(diǎn)陣字符圖形顯示出來(lái),我們就能看到字母“A”。因?yàn)?1602 識(shí)別的是 ASCII 碼,試驗(yàn)可以用 ASCII 碼直接賦值,在單片機(jī)編程中還 可以用字符型常量或變量賦值,如 A'。3.3.2 指令操作控制1602通過(guò)D0D7的8位數(shù)據(jù)端傳輸數(shù)據(jù)和指令。顯示模式設(shè)置:(初始化)0011 0000 0x38 設(shè)置16X2顯示,5X7點(diǎn)陣,8位 數(shù)據(jù)接口。顯示開(kāi)關(guān)及光標(biāo)設(shè)置:(初始化)0000 1DCBD顯示(1有效)、C光標(biāo)顯示(1有效)、 B光標(biāo)閃爍(1有效)。0000 01NS N=1

11、(讀或?qū)懸粋€(gè)字符后地址 指針加1 &光標(biāo)加1)。N=0(讀或?qū)懸粋€(gè)字符后地址指針減1 &光標(biāo)減1),S=1且N=1 (當(dāng)寫(xiě)一個(gè)字符后, 整屏顯示左移 ) 。s=0 當(dāng)寫(xiě)一個(gè)字符后,整屏顯示不移動(dòng)。數(shù)據(jù)指針設(shè)置:數(shù)據(jù)首地址為 80H,所以數(shù)據(jù)地址為80H+地址碼(0-27H,40-67H)3.4主控芯片簡(jiǎn)介電動(dòng)機(jī)保護(hù)裝置設(shè)計(jì)的主控芯片是 STC89C524電路圖設(shè)計(jì)4.1紅外發(fā)射接收電路紅外對(duì)管收發(fā)電路如圖4.1所示,TLC2272軌對(duì)軌運(yùn)放進(jìn)行信號(hào)處理,頻率和距離可以通過(guò)精密電阻調(diào)節(jié)。對(duì)從輸入端口送入信號(hào)進(jìn)行進(jìn)行編碼,使用編碼紅外線,抗干擾能力強(qiáng),可通過(guò)單片機(jī)控制,可以避免多個(gè)

12、紅外模塊之間干擾。除此之外TLC2272具有放大功能經(jīng)過(guò)兩級(jí)放大后模擬電壓達(dá)到了可以檢測(cè)到范圍。由運(yùn)放LM324比較輸出,當(dāng)” +”輸入端電壓高于”一”輸入端時(shí),電壓比較器輸出為高電平;當(dāng)” +”輸入端電壓低于”一”輸入端時(shí),電壓比較器輸出為低電平。NE555N 組成施密特觸發(fā)器,輸出信號(hào)經(jīng)施密特電路整形,穩(wěn)定可靠。施密特觸發(fā)器555內(nèi)部有電阻分壓網(wǎng)絡(luò),當(dāng) R13與R14的分壓輸入大于其閾值時(shí)輸出反向,555 是對(duì)Vcc及地而言的,不是對(duì)交流信號(hào)的。在交流信號(hào)中串接一個(gè)電容,改變信號(hào)的電 位?;瑒?dòng)變阻器PR1可以調(diào)節(jié)LM324放大器的輸入,可以調(diào)節(jié)比較電壓,同時(shí)可以調(diào)節(jié) 頻率和距離。當(dāng)555輸

13、出時(shí)高電平時(shí),三極管9013導(dǎo)通。紅外對(duì)管發(fā)射管LEDO工作。 其中C1、C2分別與R15, R10組成無(wú)源高通濾波器,C3為旁路電容。LED2是之所以要反接,是因?yàn)榧t外接收管應(yīng)用時(shí)PN結(jié)要反偏9,因?yàn)镻N結(jié)反偏后漂移電流隨著光變化的靈敏度大,這樣可以更好地線性變化。從TLC2272第二級(jí)輸出端即為AO是模擬信號(hào)輸出端,隨著障礙物與紅外對(duì)管的距離,輸出端的電壓值也隨著變 化。4.2模數(shù)轉(zhuǎn)換模塊電路圖4.2是數(shù)模轉(zhuǎn)換電路整體效果圖,主要由TLC2543轉(zhuǎn)換芯片,紅外發(fā)射接收電路 主要是普通紅外發(fā)射管和紅外接收三極管。圖4.2數(shù)模轉(zhuǎn)換電路電路工作原理:紅外發(fā)光管發(fā)射出的紅外光,在遇到前面的障礙物反

14、射后,由紅外接收三級(jí)管接收,此時(shí)紅外接收三級(jí)管會(huì)產(chǎn)生一個(gè)與光強(qiáng)相對(duì)應(yīng)的電流。,在輸出端可以得到一個(gè)03V的模擬電壓,作51單片機(jī)模擬輸入量進(jìn)行 A/D轉(zhuǎn)換,最后將轉(zhuǎn)換結(jié) 果在LCD上顯示出來(lái)。輸入的模擬信號(hào)直接與 AIN相連,用軟件設(shè)置所選通道,然后對(duì) 其進(jìn)行讀數(shù)據(jù)。4.3按鍵部分按鍵部分按功能主要是復(fù)位鍵和控制鍵如圖4.3所示復(fù)位鍵KEY1當(dāng)單片機(jī)運(yùn)行出問(wèn)題時(shí),復(fù)位鍵控制單片機(jī)復(fù)位??刂奇IKEY2調(diào)節(jié)模式,進(jìn)入設(shè)置期望的安全距離數(shù)值??刂奇IKEY3設(shè)置安全距離時(shí),加數(shù)值。并且還具有開(kāi)電動(dòng)機(jī)的功能??刂奇IKEY4置安全距離時(shí),減數(shù)值。單片機(jī)根據(jù)ADC轉(zhuǎn)換的數(shù)據(jù)和擬合函數(shù)進(jìn)行計(jì)算,得到實(shí)際距離并

15、且在LCD上顯示 距離大小。當(dāng)實(shí)際距離小于設(shè)定距離時(shí),LCD正常顯示,繼電器動(dòng)作,LED丁滅,蜂鳴 器響起。當(dāng)設(shè)定距離大于時(shí),LED丁保持亮的狀態(tài),蜂鳴器不響并且紅外發(fā)光二極管發(fā) 光報(bào)警。4.4其他模塊電路圖4.4所示,繼電器驅(qū)動(dòng)電路10。當(dāng)P20 口給低電平時(shí)三極管8550飽和導(dǎo)通,此電 路中的三級(jí)管有放大電流和做開(kāi)關(guān)的作用。繼電器上電吸合,此時(shí) LED0點(diǎn)亮。LED0是 模擬電動(dòng)機(jī),LED亮說(shuō)明在工作,滅是不工作。二極管D1與繼電器線圈并聯(lián)后,二極管負(fù)極接直流電源正極,繼電器線圈斷電時(shí), 二極管因勢(shì)利導(dǎo),為線圈電流繼續(xù)流動(dòng)提供途徑,殘余能量在線圈與二極管組成的回路 中較為平緩地自我消耗掉,

16、開(kāi)關(guān)得到有效保護(hù)。圖4.4繼電器驅(qū)動(dòng)電路圖4.5蜂鳴器驅(qū)動(dòng)電路,這與繼電器驅(qū)動(dòng)電路有相似之處。當(dāng)P21 口給低電平時(shí)三極管8550飽和導(dǎo)通11,此電路中的三級(jí)管有放大電流和做開(kāi)關(guān)的作用。這樣蜂鳴器 達(dá)到了工作的條件。圖4.5蜂鳴器驅(qū)動(dòng)電路5軟件設(shè)計(jì)部分5.1軟件設(shè)計(jì)框圖如圖5.1所示,紅外收發(fā)部分根據(jù)障礙物與紅外對(duì)管的距離不同,輸出不同的模擬信號(hào),模擬信號(hào)經(jīng)過(guò)AD采集模塊程序的處理轉(zhuǎn)化為數(shù)字信號(hào)13,寫(xiě)入單片機(jī)中,進(jìn)行實(shí)驗(yàn),將固定距離所采集到的信號(hào)強(qiáng)度記錄下來(lái),然后將對(duì)應(yīng)的數(shù)據(jù)加 入程序中,最后通過(guò)紅外模塊進(jìn)行測(cè)量,便可顯示出對(duì)應(yīng)的距離值,根據(jù)設(shè)定距離與實(shí)時(shí)距離比對(duì),比對(duì)的值送到單片機(jī),單片機(jī)

17、處理比對(duì)結(jié)果,然后對(duì)繼電器做 出處理。單片機(jī)主程序是整個(gè)程序的基礎(chǔ),也是核心。5.2程序設(shè)計(jì)流程在理解設(shè)計(jì)任務(wù)的時(shí)候,首先畫(huà)出了如圖5.2所示,根據(jù)程序設(shè)計(jì)流程圖,我對(duì)程序的編寫(xiě)有了很清晰地思路。AD采集主要是對(duì)通過(guò) AD轉(zhuǎn)換芯片對(duì)紅外對(duì)管的數(shù)據(jù)采集。采樣的電壓數(shù)據(jù)在LCD上顯示。電壓值對(duì)應(yīng)的距離是通過(guò)多次測(cè)量得出的結(jié)果。然后兩者結(jié)合,顯示出距離。用戶可以根據(jù)具體情況設(shè)定安全距離,然后安全距離與實(shí)際距離比較。根據(jù)實(shí)際情況對(duì)比較的結(jié)果進(jìn)行處理。圖5.2程序設(shè)計(jì)流程圖5.3程序設(shè)計(jì)過(guò)程中冋題總結(jié)程序設(shè)計(jì)過(guò)程中,出現(xiàn)了一些問(wèn)題。問(wèn)題主要出現(xiàn)在模數(shù)轉(zhuǎn)換程序設(shè)計(jì)和LCD光標(biāo)閃爍效果完善上。模數(shù)轉(zhuǎn)換程序編

18、寫(xiě)的時(shí),主要對(duì)時(shí)序圖的理解和各功能引腳的理解。SDI輸入端,最先輸入4位為了選通模擬量通道。后4位用來(lái)設(shè)置芯片的工作方式。最后 4位是數(shù)據(jù) 輸出。開(kāi)始設(shè)計(jì)程序時(shí)直接送入12位數(shù)據(jù)。當(dāng)時(shí)認(rèn)為前8為是為了選通道和工作方式, 后4位作為輸出數(shù)據(jù),通過(guò)移位方式賦值于另一變量,然后通過(guò)返回值返回?cái)?shù)據(jù)。這樣 寫(xiě)雖然在理論上也是可以說(shuō)通的,但是效果上無(wú)法實(shí)現(xiàn)模數(shù)轉(zhuǎn)換功能。后來(lái)通過(guò)查閱資 料,可能因?yàn)槌绦蚓帉?xiě)使其出現(xiàn)錯(cuò)亂。于是改寫(xiě),先送前 8位,然后送低4位,分兩批 送這個(gè)問(wèn)題就解決了。 EOC專換結(jié)束后會(huì)自動(dòng)置位。開(kāi)始設(shè)計(jì)時(shí),沒(méi)有判斷是否置位導(dǎo) 致轉(zhuǎn)換結(jié)果出現(xiàn)亂碼。LCD光標(biāo)閃爍,出現(xiàn)問(wèn)題主要有光標(biāo)比較暗,

19、顯示不明顯,光標(biāo)顯示位置移動(dòng)。LCD光標(biāo)顯示不明顯,然后根據(jù)LCD的一些資料改寫(xiě)了讀寫(xiě)數(shù)據(jù)函數(shù)的延時(shí)函數(shù),使延時(shí)更 長(zhǎng),這樣就可以使光標(biāo)變亮了。光標(biāo)顯示位置移動(dòng)的原因是在調(diào)節(jié)數(shù)值的時(shí)候,其他數(shù) 值仍在不斷的更新,這使得光標(biāo)不停地移動(dòng)。解決的方案是設(shè)置標(biāo)志位,當(dāng)處于光標(biāo)閃 爍模式的時(shí)候,數(shù)值停止更新。跳出閃爍模式時(shí),則數(shù)值開(kāi)始更新。C1LCD1附錄A設(shè)計(jì)電路圖口II以上電路圖為電動(dòng)及保護(hù)裝置的主電路圖,基于Altium Desige ner為平臺(tái)繪制的。包括 LCD顯示模塊電路,模數(shù)轉(zhuǎn)換電路,報(bào)警電路,繼電器電路,按鍵部分控制電路等。本電路設(shè)計(jì)合理,預(yù)留擴(kuò)展口,制作靈活,便于二次開(kāi)發(fā)。附錄B設(shè)計(jì)

20、仿真效果圖LM016L30plX1C2CRYSTALs$t03Brricurrent034nriD DVSSVEEVSROD2d3d4D6D5D7DC330pF1 2 34 5 67 191 1 3 «4R3 一 I H1kU1RV1U2AINOSDOAIN1SDIAIN2CSAIN3CLK*AIN4AIN5EOCAIN6AIN7AIN8AIN9AIN1OREF+REF-i2313TLC2543,XTAL1P0.0/AD0P0.1/AD1P0.2/AD2XTAL2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6RSTPO 7/AD7P2.O/A8P2.1/A9P2 2

21、/A1OPSENP2.3/A11ALEP2.4/A12EAP2 5/A13P2.6/A14P2.7/A15P1.0P3.O/RXDP1.1P3.1/TXDP1.2P3.27INTOP1.3P3.3/INT1P1.4P3.4/TOP1.5P3.5/T1P1.6P3.6/WRP1.7P3.7/RDAT89C512930b 39.3837T363534 3332 21I 22丁2324 252627T282k 1013I 14 15R2-1617 1112上圖是基于Protues軟件仿真的效果圖。用滑動(dòng)變阻器模擬紅外對(duì)管輸入模擬信號(hào),滑動(dòng)變阻器右邊是電壓值。LCD第一行顯示的是“ set 030mm

22、 ”用戶可以根據(jù)實(shí)際情況設(shè)置安全距離。第二行顯示的是經(jīng)過(guò)單片機(jī)處理后的實(shí)時(shí)距離值。附錄C程序源代碼1602顯示模塊程序com)date)#include<lcd1602.h>#include<reg52.h>sbitlcdrs=P2A5;sbit lcdrw=P2A6;sbit lcden=P2A7;void delay(uint z) uint x,y;for(x=z;x>0;x-) for(y=110;y>0;y-);void write_com(uchar lcdrs=0;lcden=0;P0=com;delay(5);lcden=1;delay(5)

23、;lcden=0;void write_data(ucharlcdrs=1;lcden=0;P0=date;delay(5);lcden=1;delay(5);lcden=0;void init() lcdrw=0;lcden=0;write_com(0x38);write_com(0x0c);write_com(0x06);write_com(0x01);write_com(0x80);紅外測(cè)距模塊程序 :#include<reg52.h>#include<lcd1602.h>#define uchar unsignedchar#define uint unsigne

24、dintsbitclk=P1A4;sbitcs=P1A5;sbitdin=PM6;sbitdout=P1A7;sbitbit7=BA7; / 數(shù)據(jù)的最高位ucharcount,conword;/控制字的高四位決定通道口bitcy;voidwrite_sfm(ucharadd,uintdate)uint bai,shi,ge;ge=date%10;shi=date%100/10; bai=date%1000/100;write_com(0x80+add);write_data(0x30+bai); write_data(0x30+shi);write_data(0x30+ge);write_da

25、ta('m');write_data('m');void write_sfm1(uchar add,uint date) uint qian,bai,shi,ge;ge=date%10;shi=date%100/10;bai=date%1000/100;qian=date/1000;write_com(0x80+0x40+add);write_data(0x30+qian);write_com(0x80+0x40+0x08);write_data(0x2e);write_data(0x30+bai);write_data(0x30+shi);write_data(0x30+ge);uint readad(uchar conword)char i;uint advalue=0;uchar value=0;變成了高四位conword=conword<<4; / 控制

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論