基于單片機(jī)控制智能小車設(shè)計以及英文文獻(xiàn)和proteus仿真包括程序_第1頁
基于單片機(jī)控制智能小車設(shè)計以及英文文獻(xiàn)和proteus仿真包括程序_第2頁
基于單片機(jī)控制智能小車設(shè)計以及英文文獻(xiàn)和proteus仿真包括程序_第3頁
基于單片機(jī)控制智能小車設(shè)計以及英文文獻(xiàn)和proteus仿真包括程序_第4頁
基于單片機(jī)控制智能小車設(shè)計以及英文文獻(xiàn)和proteus仿真包括程序_第5頁
已閱讀5頁,還剩42頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、大學(xué)學(xué)士學(xué)位論文摘 要本次設(shè)計的單片機(jī)控制的智能小車,采用AT89C51單片機(jī)為小車的控制核心。運(yùn)用L298芯片實(shí)現(xiàn)對小車前進(jìn)、后退、左行、右行、以及全速和減速的控制,同時單片機(jī)會自動根據(jù)超聲波傳感器檢測到的情況播放相對應(yīng)的音樂并點(diǎn)亮相對應(yīng)顏色的LED燈,實(shí)現(xiàn)了自動避障和聲光報警兩大功能。此外本次設(shè)計還運(yùn)用液晶顯示器LCD1602對小車行駛里程和實(shí)時日期、時間進(jìn)行顯示。在液晶顯示器的第一行顯示根據(jù)霍爾元件A44E獲得的脈沖數(shù)而計算出的小車行駛的里程數(shù);在液晶顯示器的第二行顯示從時鐘芯片DS1302讀取的實(shí)時日期和時間,實(shí)現(xiàn)了液晶顯示功能。由以上各部分共同實(shí)現(xiàn)了設(shè)計要求的自動避障、液晶顯示、聲光

2、報警三大功能。關(guān)鍵詞:AT89C51;L298 ;DS1302;液晶顯示AbstractThe AT89C51 microcontmller is taken as the control core for the design of an intelligent car in the paper,with the using of L298 chip ,it can control the automatic advance,backward turn left,turn right and with the speed full or slow,also it according to t

3、he case of ultrasonic sensors detected play the corresponding music and light the color-coded leds.Besides,this design uses LCD1602 for car trip mileage and real-time date and time display.The first row of the LCD display the mileage which based on the number of pulses that the Hall element A44E got

4、.The second row of LCD display the date and time which read from the clock chip DS1302.By above all the design request partially realized automatic obstacle avoidance,liquid crystal display, sound-light alarm three major functions.Keyword:AT89C51;L298;DS1302; liquid crystal display目錄1 緒論11.1 研究背景11.

5、2 選題意義12 整體方案設(shè)計32.1 整體方案的設(shè)計思路32.2 整體設(shè)計的構(gòu)成圖33 硬件的選擇53.1 電源模塊的選擇53.2 電機(jī)控制模塊中硬件的選擇53.2.1 電機(jī)的選擇53.2.2 電機(jī)控制模塊的選擇63.3 時間與里程顯示模塊中的硬件的選擇83.3.1 顯示器的選擇83.3.2 時鐘芯片的選擇113.3.3 里程檢測元件的選擇153.4 聲光報警模塊中的硬件的選擇163.5 障礙檢測模塊中硬件的選擇183.6 單片機(jī)的選擇與簡介184 設(shè)計所用軟件以及模塊程序設(shè)計214.1 所用軟件的簡介214.1.1 Keil的簡介214.1.2 Protues的簡介224.2 主程序設(shè)計2

6、34.3 電機(jī)控制程序設(shè)計244.4 聲光報警程序設(shè)計254.5 顯示程序設(shè)計27結(jié)論29致謝30參考文獻(xiàn)31附錄A 文獻(xiàn)及翻譯33附錄B 程序清單48附錄C 元件清單64附錄D 電路圖650大學(xué)學(xué)士學(xué)位論文1 緒論1.1 研究背景當(dāng)今世界,傳感器技術(shù)和自動控制技術(shù)正在飛速發(fā)展,機(jī)械、電氣和電子信息已經(jīng)不再明顯分家,自動控制在工業(yè)領(lǐng)域中的地位已經(jīng)越來越重要,“智能”這個詞也已經(jīng)成為了熱門詞匯?,F(xiàn)在國外的自動控制和傳感器技術(shù)已經(jīng)達(dá)到了很高的水平,特別是日本,比如日本本田制作的機(jī)器人,其仿人雙足行走已經(jīng)做得十分逼真,而且具有一定的學(xué)習(xí)能力,還據(jù)說其智商已達(dá)到6歲兒童的水平。作為機(jī)械行業(yè)的代表產(chǎn)品汽

7、車,其與電子信息產(chǎn)業(yè)的融合速度也顯著提高,呈現(xiàn)出兩個明顯的特點(diǎn):一是電子裝置占汽車整車(特別是轎車)的價值量比例逐步提高,汽車將由以機(jī)械產(chǎn)品為主向高級的機(jī)電一體化方向發(fā)展,汽車電子產(chǎn)業(yè)也很有可能成為依托整車制造業(yè)和用車提升配置而快速成為新的增長點(diǎn);二是汽車開始向電子化、多媒體化和智能化方向發(fā)展,使其不僅作為一種代步工具、同時能具有交通、娛樂、辦公和通訊等多種功能。無容置疑,機(jī)電一體化人才的培養(yǎng)不論是在國外還是國內(nèi),都開始重視起來,主要表現(xiàn)在大學(xué)生的各種大型的創(chuàng)新比賽,比如:亞洲廣播電視聯(lián)盟亞太地區(qū)機(jī)器人大賽(ABU ROBCON)、全國大學(xué)生“飛思卡爾”杯智能汽車競賽等眾多重要競賽都能很好的培

8、養(yǎng)大學(xué)生對于機(jī)電一體化的興趣與強(qiáng)化機(jī)電一體化的相關(guān)知識。但很現(xiàn)實(shí)的狀況是,國內(nèi)不論是在機(jī)械還是電氣領(lǐng)域,與國外的差距還是很明顯的,所以作為機(jī)械設(shè)計專業(yè)的學(xué)生,必須在自動控制方面加倍努力,提高自己的競爭力同時也提高國內(nèi)產(chǎn)品的競爭力。為了適應(yīng)機(jī)電一體化的發(fā)展在汽車智能化方向的發(fā)展要求,提出簡易智能小車的構(gòu)想,目的在于:通過獨(dú)立設(shè)計并制作一輛具有簡單智能化的簡易小車,獲得項目整體設(shè)計的能力,并掌握多通道多樣化傳感器綜合控制的方法。所以立“基于單片機(jī)控制的智能小車設(shè)計”一題作為嘗試。1.2 選題意義在科學(xué)探索和緊急搶險中經(jīng)常會遇到對與一些危險或人類不能直接到達(dá)的地域的探測,并且對所檢測的情況進(jìn)行顯示和

9、報警,這些就需要用機(jī)器人來完成。而在機(jī)器人在復(fù)雜地形中行進(jìn)時自動避障和智能報警是項必不可少也是最基本的功能。因此,自動避障和智能報警系統(tǒng)的研發(fā)就應(yīng)運(yùn)而生。我們的自動避障小車就是基于這一系統(tǒng)開發(fā)而成的。此外本設(shè)計還加入了液晶顯示部分。同時本次設(shè)計可全面提高個人對單片機(jī)技術(shù)、傳感器技術(shù)智能控制技術(shù)、計算機(jī)控制技術(shù)知識的綜合應(yīng)用能力。掌握從系統(tǒng)級、電路級到芯片級各層次的設(shè)計和實(shí)現(xiàn)手段。在此設(shè)計過程中,將會用到多門學(xué)科的理論知識,是對以前所學(xué)知識的一個全面的復(fù)習(xí)和鞏固,更重要的是培養(yǎng)自我分析問題和解決問題的能力,還增強(qiáng)了實(shí)際的動手能力。2 整體方案設(shè)計2.1 整體方案設(shè)計的思路利用預(yù)先輸入的程序控制單

10、片機(jī),讓單片機(jī)翻譯傳輸指令,從而實(shí)現(xiàn)相應(yīng)的功能。具體的過程如下:在按下開始按鈕后,單片機(jī)自動運(yùn)行所輸入的指令程序,并將其傳輸給驅(qū)動電路驅(qū)動電機(jī)旋轉(zhuǎn),從而實(shí)現(xiàn)讓小車的前進(jìn)、后退、減速左轉(zhuǎn)和減速右轉(zhuǎn)。在電機(jī)運(yùn)行的時候單片機(jī)會根據(jù)超聲波傳感器檢測到的情況進(jìn)行確定是否進(jìn)行聲光報警,另外單片機(jī)同時控制LCD1602顯示時間與里程,在LCD初始化之后,LCD第二行顯示從DS1302讀取的時間,同時A44E霍爾元件會根據(jù)車路所轉(zhuǎn)的圈數(shù)將相應(yīng)的脈沖輸入單片機(jī),單片機(jī)經(jīng)過運(yùn)算而獲得的里程就會顯示在LCD1602第一行上。2.2 整體設(shè)計的構(gòu)成圖在proteus上繪出的PCD圖如圖1.1 圖2.1 基于單片機(jī)控制

11、的智能小車設(shè)計電路圖3 硬件的選擇3.1 電源模塊的選擇 本設(shè)計的電源為車載電源。為保證電源工作可靠,單片機(jī)系統(tǒng)與動力伺服系統(tǒng)的電源采用蓄電池。而傳感器和備用電源采用兩節(jié)小巧輕便的干電池。3.2 電機(jī)及電機(jī)控制模塊中硬件的選擇與運(yùn)用3.2.1 電機(jī)的選擇根據(jù)設(shè)計要求本設(shè)計的電機(jī)選用直流電機(jī)。直流電動機(jī)是最早出現(xiàn)的電動機(jī),它具有良好的線性調(diào)速特性,簡單的控制性能,較高的效率。因此它被廣泛的應(yīng)用于工農(nóng)業(yè)生產(chǎn)、交通運(yùn)輸、國防、航空航天、醫(yī)療衛(wèi)生、商務(wù)辦公等多個領(lǐng)域。在機(jī)電傳動控制這門課中我們知道直流電動機(jī)轉(zhuǎn)速表達(dá)式為 (3.1)公式中,U為電樞兩端電壓;為流過電樞的電流;為電樞電路的總電阻;為為直流

12、電動機(jī)的電動是常數(shù);為勵磁磁通量。由上式容易得到,直流電動機(jī)可以通過調(diào)節(jié)電樞回路的總電阻、勵磁磁通量和電樞兩端的電壓U3種方式實(shí)現(xiàn)調(diào)速。其中,調(diào)節(jié)的方式屬于有級調(diào)速方式,條數(shù)效率低,現(xiàn)在已經(jīng)很少使用。調(diào)節(jié)電樞兩端的電壓U的方式,是現(xiàn)在最常用的調(diào)速方式。電樞電壓調(diào)速方式共有兩種方法,一種是可控整流法,另一種是脈寬調(diào)制變換器(PWM)法??煽卣鞣ㄊ侵竿ㄟ^調(diào)節(jié)觸發(fā)器的控制電壓來移動觸發(fā)器脈沖的相位,從而改變晶閘管輸出的整流電壓即電樞電壓,實(shí)現(xiàn)電動機(jī)的無極調(diào)速。這種方法的控制原理簡單、線性好,但是控制電路較為復(fù)雜、易產(chǎn)生較大熱量。脈沖寬度變換器法是通過調(diào)節(jié)電動機(jī)電樞電壓的接通時間與通電周期的比值來控

13、制直流電動機(jī)的轉(zhuǎn)速。脈沖寬度變換器法具有調(diào)速精度高,響應(yīng)速度快,可靠性高以及易于采用單片機(jī)控制的優(yōu)點(diǎn),因而成為了直流調(diào)速的主要方法。設(shè)計中共用到兩個電機(jī),前面放置的電機(jī)控制小車左右轉(zhuǎn),后面放置的電機(jī)控制小車前進(jìn)后退。電機(jī)放置位置如圖3.1圖3.1 電機(jī)放置位置圖3.2.2 電機(jī)控制模塊的選擇本設(shè)計選L298芯片來驅(qū)動電機(jī)。L298是SGS公司(意法半島體公司)生產(chǎn)的H橋電動機(jī)驅(qū)動芯片。L298為單塊集成電路,高電壓,高電流,四通道驅(qū)動,可直接的對電機(jī)進(jìn)行控制,無須隔離電路。通過單片機(jī)的I/O輸入改變芯片控制端的電平,即可以對電機(jī)進(jìn)行正反轉(zhuǎn),停止的操作,同時可以通過調(diào)整使能端的電平來調(diào)整輸入波形

14、的占空比進(jìn)而調(diào)整電機(jī)的轉(zhuǎn)速,非常方便,該芯片亦能滿足直流減速電機(jī)的大電流要求。調(diào)試時在依照表3.1,用程序輸入對應(yīng)的碼值,能夠?qū)崿F(xiàn)對應(yīng)的動作。表3.1是其使能、輸入引腳和輸出引腳的邏輯關(guān)系。表 3.1 L298的引腳和輸出引腳的邏輯關(guān)系ENA(B)IN1(IN3)IN2(IN4)電機(jī)運(yùn)行狀況HHL正傳HLH反轉(zhuǎn)HHH快速停止HLL快速停止LXX停止基于以上分析,我們選擇了方案二,用L298N來作為電機(jī)的驅(qū)動芯片。L298N的引腳圖如圖 3.2所示。L298N的引腳說明如表3.2所示:圖3.2 L298N引腳圖 表 3.2 L298引腳說明表 引腳符號功能115SENSING ASENSING

15、B此兩端與地連接電流檢測電阻,并向驅(qū)動芯片反饋檢測到的信號23OUT 1OUT 2此兩腳是全橋式驅(qū)動器A的兩個輸出端,用來連接負(fù)載4Vs電機(jī)驅(qū)動電源輸入端57IN 1IN 2輸入標(biāo)準(zhǔn)的TTL邏輯電平信號,用來控制全橋式驅(qū)動器A的開關(guān)611ENABLE AENABLE B使能控制端.輸入標(biāo)準(zhǔn)TTL邏輯電平信號;低電平時全橋式驅(qū)動器禁止工作。8GND接地端,芯片本身的散熱片與8腳相通9Vss邏輯控制部分的電源輸人端口1012IN 3IN 4輸入標(biāo)準(zhǔn)的TTL邏輯電平信號,用來控制全橋式驅(qū)動器B的開關(guān)1314OUT 3OUT 4此兩腳是全橋式驅(qū)動器B的兩個輸出端,用來連接負(fù)載3.3 時間與里程顯示模塊

16、中的硬件的選擇3.3.1 顯示器的選擇在日常生活中,我們對顯示器并不陌生。通常用的顯示方式有三種:發(fā)光管、LED數(shù)碼管,液晶顯示器。由于液晶顯示質(zhì)量高、重量輕、體積小、功耗低所以本設(shè)計選用的顯示器為液晶顯示器。其型號為LCD1602。如圖3.3所示 圖3.3 LCD1602引腳圖LCD1602可以顯示2行16個字符,有8位數(shù)據(jù)總線D0-D7,和RS、R/W、EN三個控制端口,工作電壓為5V,并且?guī)в凶址麑Ρ榷日{(diào)節(jié)和背光。1602采用標(biāo)準(zhǔn)的14腳(無背光)或16腳(帶背光)接口,各引腳接口說明如表3.3所示: 表 3.3 LCD1602引腳說明表編號符號引腳說明編號符號引腳說明1VSS電源地9D

17、2數(shù)據(jù)2VDD電源正極10D3數(shù)據(jù)3VL液晶顯示偏壓11D4數(shù)據(jù)4RS數(shù)據(jù)/命令選擇12D5數(shù)據(jù)5R/W讀/寫選擇13D6數(shù)據(jù)6E使能信號14D7數(shù)據(jù)7D0數(shù)據(jù)15BLA背光源正極8D1數(shù)據(jù)16BLK背光源負(fù)極引腳接口說明:第1腳:VSS為地電源。第2腳:VDD接5V正電源。第3腳、第4腳和第5腳的說明如表3.4所示。第6腳:E端為使能端,當(dāng)E端由高電平跳變成低電平時,液晶模塊執(zhí)行命令。第714腳:D0D7為8位雙向數(shù)據(jù)線。第15腳:背光源正極。第16腳:背光源負(fù)極。 表 3.4 RS、R/W和E功能表RSR/WE功能00下降沿寫數(shù)據(jù)或者指令參數(shù)01高電平讀數(shù)據(jù)10下降沿寫指令碼11高電平讀忙

18、標(biāo)志位LCD1602的讀寫操作時序如圖3.4所示。圖3.4 LCD1602讀寫操作時序圖3.3.2 時鐘芯片的選擇DS1302 是美國DALLAS公司推出的一種高性能、低功耗、帶RAM的實(shí)時時鐘電路,它可以對年、月、日、周、時、分、秒進(jìn)行計時,具有閏年補(bǔ)償功能,工作電壓為2.5V5.5V。采用三線接口與CPU進(jìn)行同步通信,并可采用突發(fā)方式一次傳送多個字節(jié)的時鐘信號或RAM數(shù)據(jù)。DS1302內(nèi)部有一個31×8字節(jié)的用于臨時性存放數(shù)據(jù)的RAM寄存器。DS1302是DS1202的升級產(chǎn)品,與DS1202兼容,但增加了主電源/后背電源和雙電源引腳,同時提供了對后背電源進(jìn)行涓細(xì)電流充電的能力。

19、圖3.5為DS1302的引腳排列,其中Vcc2為后備電源,Vcc1為主電源。在主電源關(guān)閉的情況下,也能保持時鐘的連續(xù)運(yùn)行。DS1302由Vcc1或Vcc2兩者中的較大者供電。當(dāng)Vcc1大于Vcc20.2V時,Vcc1給DS1302供電。當(dāng)Vcc1小于Vcc2時,DS1302由Vcc2供電。X1和X2是振蕩源,外接32.768kHz晶振。RST是復(fù)位/片選線,通過把RST輸入驅(qū)動置高電平來啟動所有的數(shù)據(jù)傳送。RST輸入有兩種功能:首先,RST接通控制邏輯,允許地址/命令序列送入移位寄存器;其次,RST提供終止單字節(jié)或多字節(jié)數(shù)據(jù)的傳送手段。當(dāng)RST為高電平時,所有的數(shù)據(jù)傳送被初始化,允許對DS13

20、02進(jìn)行操作。如果在傳送過程中RST置為低電平,則會終止此次數(shù)據(jù)傳送,I/O引腳變?yōu)楦咦钁B(tài)。上電運(yùn)行時,在Vcc2.5V之前,RST必須保持低電平。只有在SCLK為低電平時,才能將RST置為高電平。 I/O為串行數(shù)據(jù)輸入輸出端(雙向)。DS1302的引腳及內(nèi)部結(jié)構(gòu)如圖3.5所示:表3.5 DS1302引腳功能表引腳號引腳名稱功 能1Vcc2后備電源2.3X1.X2振蕩源,外接32768Hz晶振4GND地線5TST復(fù)位/片選線6I/O串行數(shù)據(jù)輸入/輸出端(雙向)7SCLK串行數(shù)據(jù)輸入端8Voc1主電源引腳號引腳名稱功 能圖3.5 DS1302管腳圖及內(nèi)部結(jié)構(gòu)圖DS1302的所有功能都是通過對其內(nèi)

21、部地址進(jìn)行操作實(shí)現(xiàn)的。其內(nèi)部存儲空間分為2部分:80H91H為功能控制單元,C0HFDH為普通存儲單元;所有單元地址中最低位為0表示將對其進(jìn)行寫數(shù)據(jù)操作,最低位為1表示將對其進(jìn)行讀數(shù)據(jù)操作。普通存儲單元是提供給用戶的存儲空間,而特殊存儲單元存放DS1302的時間相關(guān)的數(shù)據(jù),用戶不能用來存放自己的數(shù)據(jù)。其內(nèi)部儲存空間如表3.6所示。表3.6 DS1302的日歷、時鐘寄存器及控制字寄存器名命令字節(jié)范圍位內(nèi)容讀寫D7D6D5D4D3D2D1D0秒81H80H0059CH秒的十位秒的個位分83H82H00590分的十位分的個位時85H84H0112或002312/240A/PHR小時的個位日87H86

22、H013100日的十位日的個位月89H88H01120000/1月的個位星期8BH8AH010700000星期幾年8DH8CH0099年的十位年的個位DS1302的操作方法:DS1302的操作可分為輸入和輸出兩種。DS1302數(shù)據(jù)輸入或輸出方式可以是單字節(jié)傳送也可以是多字節(jié)傳送。每個傳送過程可分為啟動傳送、發(fā)送命令、傳送數(shù)據(jù)3各階段。下面具體介紹DS1302的數(shù)據(jù)傳送過程。(1) 啟動傳送 在DS1302寫入數(shù)據(jù)或從其中讀取數(shù)據(jù)時,需要RST置為高電平來啟動數(shù)據(jù)傳送。首先,RST接通控制邏輯,允許地址/命令序列送入移位寄存器。其次RST還提供了中止單字節(jié)或多字節(jié)數(shù)據(jù)傳送命令。(2) 發(fā)送命令命

23、令字節(jié)格式如表3.7所示,每個數(shù)據(jù)傳送由命令字節(jié)初始化。其中,最高有效位MSB(位7)必須為邏輯“1”,如果它是“0”,則禁止寫DS1302。位6為邏輯“0”指定時鐘/日歷數(shù)據(jù);為邏輯"1"指定的RAM數(shù)據(jù)。位15指定數(shù)據(jù)輸入或者輸出的特定寄存器,最低有效位LSB(位0)為邏輯“0”時,指定進(jìn)行寫操作(輸入 );為邏輯“1”時,指定進(jìn)行讀操作(輸出)。寫命令字節(jié)總是從最低有效位LSB(位0)開始輸入。命令字節(jié)是在每個SCLK的上升沿輸入至DS1302的。表3.7 DS1302地址/命令字節(jié)格式位7位6位5位4位3位2位1位01A4A3A2A1A0(3) 傳輸數(shù)據(jù)按照數(shù)據(jù)的傳

24、輸方向,可以分為數(shù)據(jù)輸入和數(shù)據(jù)輸出兩種。當(dāng)數(shù)據(jù)輸入時,寫入數(shù)據(jù)跟隨命令字節(jié)的8個SLCK周期之后,在下8個SLCK周期的上升沿輸入數(shù)據(jù)字節(jié)。數(shù)據(jù)傳送從低位開始。當(dāng)數(shù)據(jù)輸出時,輸出數(shù)據(jù)也是跟隨命令字節(jié)的8個SLCK周期之后,在下8個SLCK周期的下降沿輸入數(shù)據(jù)字節(jié)。DS1302數(shù)據(jù)傳輸方式可以分為單字節(jié)傳送和多字節(jié)傳送兩種。單字節(jié)傳送時序如圖3.6所示。發(fā)送完命令字節(jié)后,在下8個SLCK周期時就可以接受或者讀取數(shù)據(jù)了。圖 圖3.6 DS1302單字節(jié)傳送時序圖多字節(jié)傳送時序圖如圖3.7所示。同上面介紹的內(nèi)容一樣,地址命令位6選擇時鐘或者RAM,位0用于選擇多或者寫數(shù)據(jù)。在多字節(jié)讀寫操作中,從地址

25、位0的位開始。圖3.7 DS1302多字節(jié)傳送時序圖DS1302是與單片機(jī)連接起來的,其連接圖如圖3.8所示。圖 3.8 DS1302與單片機(jī)連接圖3.3.3 里程檢測元件的選擇脈沖計數(shù)法是智能測速與智能測里程系統(tǒng)中常用的方法。只要轉(zhuǎn)軸每旋轉(zhuǎn)一周,產(chǎn)生一個或固定的多個脈沖,并將脈沖送入微處理器中進(jìn)行計數(shù),即可獲得轉(zhuǎn)速或里程的信息??梢杂卸喾N方式來獲得脈沖信號。將光電傳感器安裝在轉(zhuǎn)軸上,當(dāng)扇葉經(jīng)過時,可產(chǎn)生脈沖信號。但是光電傳感器對灰塵、油污等比較敏感,因此不適宜安裝在車輪上。光電編碼器和霍爾傳感器都不怕灰塵和油污,且都廣泛應(yīng)用于工業(yè)現(xiàn)場。但是光電編碼器價格較昂貴,霍爾傳感器價格便宜且具有許多優(yōu)

26、點(diǎn),它們的結(jié)構(gòu)牢固,體積小,重量輕,安裝方便,功耗小,功率高(可達(dá)1MHz),耐振動,不怕灰塵、油污、水汽及鹽霧等的污染和腐蝕?;魻柧€性器件的精度高、線性度好;霍爾開關(guān)器件無觸點(diǎn)、無磨損、輸出波形清晰、無抖動、無回跳、位置重復(fù)精度高。對于設(shè)置了一定保護(hù)措施的霍爾器件其工作溫度范圍可達(dá)-55°150°。按照霍爾器件的功能可將它們分為:霍爾線性器件和霍爾開關(guān)器件。前者為輸出模擬量,后者輸出數(shù)字量。本次設(shè)計運(yùn)用的就是后者霍爾開關(guān)器件。按被檢測的對象的性質(zhì)可將它們的應(yīng)用分為:直接應(yīng)用和間接應(yīng)用。前者是直接檢測出受監(jiān)測對象本身的磁場或磁性,后者是檢測手機(jī)按對象人為設(shè)置的磁場,用這個磁

27、場來作為被檢測的信息的載體,通過它,將許多非電、非磁的物理量,例如力、力矩、應(yīng)力、位置、位移、速度、加速度、角度、轉(zhuǎn)數(shù)、轉(zhuǎn)速以及工作狀態(tài)發(fā)生變化的時間等,轉(zhuǎn)變成電量來進(jìn)行檢測和控制。霍爾開關(guān)電路又稱霍爾數(shù)字電路,由穩(wěn)壓器、霍爾片、差分放大器,斯密特發(fā)觸發(fā)器和輸出級組成。在外磁場的作用下,當(dāng)磁感應(yīng)強(qiáng)度超過導(dǎo)通閥值時,霍爾電路輸出導(dǎo)通,輸出低電平,之后,強(qiáng)度增加仍然保持通態(tài)。若外加磁場磁感應(yīng)強(qiáng)度低于導(dǎo)通閥閥值時,輸出截止,輸出高電平。A44E接線如圖3.9所示,A44E放置位置如圖3.10所示:圖3.9 霍爾A44接線圖圖3.10 A44E放置位置圖3.4 聲光報警模塊中的硬件的選擇聲光報警運(yùn)用揚(yáng)

28、聲器與三色LED進(jìn)行聲光報警。當(dāng)單片機(jī)接收到傳感器的信號時,相應(yīng)的音樂進(jìn)行演奏,同時相應(yīng)色彩的LED發(fā)光。本設(shè)計選用三種顏色的LED燈分別是:紅色、黃色、藍(lán)色。LED 是英文 light emitting diode (發(fā)光二極管)的縮寫,它的基本結(jié)構(gòu)是一塊電致發(fā)光的半導(dǎo)體材料,置于一個有引線的架子上,然后四周用環(huán)氧樹脂密封,起到保護(hù)內(nèi)部芯線的作用,所以 LED 的抗震性能好。LED 光源的特點(diǎn):1.電壓:LED 使用低壓電源,供電電壓一般低于24V,根據(jù)產(chǎn)品不同而異,所以它是一個比使用高壓電源更安全的電源,特別適用于公共場所。2.效能:消耗能量較同光效的白熾燈減少80%。3.適用性:很小,每

29、個單元LED小片是 3-5mm 的正方形,所以可以制備成各種形狀的器件,并且適合于易變的環(huán)境。4.穩(wěn)定性:10 萬小時,光衰為初始的50%。5.響應(yīng)時間:其白熾燈的響應(yīng)時間為毫秒級, LED燈的響應(yīng)時間為納秒級。6.對環(huán)境污染:無有害金屬汞7.顏色:改變電流可以變色,發(fā)光二極管方便地通過化學(xué)修飾方法,調(diào)整材料的能帶結(jié)構(gòu)和帶隙,實(shí)現(xiàn)紅黃 8.價格:LED的價格比較昂貴,較之于白熾燈,幾只LED的價格就可以與一只白熾燈的價格相當(dāng)。本設(shè)計采用東陽市橫店吉利來電子廠的36mm-40mm的塑殼內(nèi)磁揚(yáng)聲器來播放音樂。在這里我們對單片機(jī)產(chǎn)生音樂做一個簡單的介紹:音樂作為一種物理現(xiàn)象,由于物體振動而產(chǎn)生,震動

30、的聲波作用于人耳,聽覺系統(tǒng)將神經(jīng)沖動傳達(dá)給大腦,進(jìn)而產(chǎn)生聽覺。人耳聽到的聲音頻率大約為11200000Hz,而音樂中使用的音一般在274100Hz。音樂體系中各音級的的名稱叫做音名。音樂體系中高音關(guān)系的最小計量單位叫做半音,兩個半音構(gòu)成一個全音。音樂中有幾十個高低不同的音,但是最基本的只有七個音,其他高,低的音名都是在這個基礎(chǔ)上變化出來的。在樂譜表上用來表示正在進(jìn)行的音的長短的符號叫做音符。不同的音符代表不同的長度。音符有以下幾種:全音符、二分音符、四分音符、八分音符、十六分音符、三十二分音符、四十六分音符。此外,還有一種浮點(diǎn)音符,它就是指帶附點(diǎn)的音符,所謂附點(diǎn)就是記在音符右邊的小圓點(diǎn),表示增

31、加前面音符時值的一半。音持續(xù)的長短即時值,一般用拍數(shù)表示,休止符表示暫停發(fā)音。一首音樂就是由許多不同的音符組成的,而每一個音符對應(yīng)著不同的頻率,這樣就可以利用不同的頻率組合,加以節(jié)拍數(shù)對應(yīng)的延時來構(gòu)成不同的音樂。3.5 障礙檢測模塊中硬件的選擇本設(shè)計所選用超聲波傳感器進(jìn)行障礙檢測。超聲波傳感器的原理是:超聲波由壓電陶瓷超聲波傳感器發(fā)出后,遇到障礙物便反射回來,再被超聲波傳感器接收。而且超聲波在傳播時,方向性強(qiáng),能量易于集中,且可傳播足夠遠(yuǎn)的距離。因此超聲波傳感器在避障的設(shè)計中被廣泛應(yīng)用。所選擇的深圳金瓷科技有限公司生產(chǎn)的防水型超聲波傳感器,型號為:NU40A18TR-2;方向角為35°

32、;65°;工作溫度為-40°+80°;檢測范圍為0.2-2m。共用兩個超聲波傳感器,分別放置在小車車頭的左邊和右邊。如圖3.11所示:圖3.11 傳感器位置圖3.6 單片機(jī)的選擇與簡介本次設(shè)計所選用的是AT89C51單片機(jī)。AT89C51是一個低電壓,高性能CMOS8位單片機(jī)帶有4K字節(jié)的可反復(fù)擦寫的程序存儲器(PENROM)。和128字節(jié)的存取數(shù)據(jù)存儲器(RAM),這種器件采用ATMEL公司的高密度、不容易丟失存儲技術(shù)生產(chǎn),并且能夠與MCS-51系列的單片機(jī)兼容。片內(nèi)含有8位中央處理器和閃爍存儲單元,有較強(qiáng)的功能的AT89C51單片機(jī)能夠被應(yīng)用到控制領(lǐng)域中。功能

33、特性:AT89C51提供以下的功能標(biāo)準(zhǔn):4K字節(jié)閃爍存儲器,128字節(jié)隨機(jī)存取數(shù)據(jù)存儲器,32個I/O口,2個16位定時/計數(shù)器,1個5向量兩級中斷結(jié)構(gòu),1個串行通信口,片內(nèi)震蕩器和時鐘電路。另外,AT89C51還可以進(jìn)行0HZ的靜態(tài)邏輯操作,并支持兩種軟件的節(jié)電模式。閑散方式停止中央處理器的工作,能夠允許隨機(jī)存取數(shù)據(jù)存儲器、定時/計數(shù)器、串行通信口及中斷系統(tǒng)繼續(xù)工作。掉電方式保存隨機(jī)存取數(shù)據(jù)存儲器中的內(nèi)容,但震蕩器停止工作并禁止其它所有部件的工作直到下一個復(fù)位。引腳描述:VCC:電源電壓 GND:地P0口:P0口是一組8位漏極開路雙向I/O口,即地址/數(shù)據(jù)總線復(fù)用口。作為輸出口時,每一個管腳

34、都能夠驅(qū)動8個TTL電路。當(dāng)“1”被寫入P0口時,每個管腳都能夠作為高阻抗輸入端。P0口還能夠在訪問外部數(shù)據(jù)存儲器或程序存儲器時,轉(zhuǎn)換地址和數(shù)據(jù)總線復(fù)用,并在這時激活內(nèi)部的上拉電阻。P0口在閃爍編程時,P0口接收指令,在程序校驗時,輸出指令,需要接電阻。P1口:P1口一個帶內(nèi)部上拉電阻的8位雙向I/O口,P1的輸出緩沖級可驅(qū)動4個TTL電路。對端口寫“1”,通過內(nèi)部的電阻把端口拉到高電平,此時可作為輸入口。因為內(nèi)部有電阻,某個引腳被外部信號拉低時輸出一個電流。閃爍編程時和程序校驗時,P1口接收低8位地址。P2口:P2口是一個內(nèi)部帶有上拉電阻的8位雙向I/O口,P2的輸出緩沖級可驅(qū)動4個TTL電

35、路。對端口寫“1”,通過內(nèi)部的電阻把端口拉到高電平,此時,可作為輸入口。因為內(nèi)部有電阻,某個引腳被外部信號拉低時會輸出一個電流。在訪問外部程序存儲器或16位地址的外部數(shù)據(jù)存儲器時,P2口送出高8位地址數(shù)據(jù)。在訪問8位地址的外部數(shù)據(jù)存儲器時,P2口線上的內(nèi)容在整個運(yùn)行期間不變。閃爍編程或校驗時,P2口接收高位地址和其它控制信號。P3口:P3口是一組帶有內(nèi)部電阻的8位雙向I/O口,P3口輸出緩沖故可驅(qū)動4個TTL電路。對P3口寫如“1”時,它們被內(nèi)部電阻拉到高電平并可作為輸入端時,被外部拉低的P3口將用電阻輸出電流。P3口除了作為一般的I/O口外,更重要的用途是它的第二功能,如下表3.8所示:表3

36、.8 P3口引腳第二功能端口引腳 第二功能P3.0 FDPXD(串行輸入口)P3.1 TXD(串行輸出口)P3.2(外中斷0)P3.3 (外中斷1)P3.4T0(定時/計數(shù)器0外部輸入)P3.5T1(定時/計數(shù)器1外部輸入)P3.6(外部數(shù)據(jù)存儲寫選通)P3.7(外部數(shù)據(jù)存儲讀選通)P3口還接收一些用于閃爍存儲器編程和程序校驗的控制信號。RST:復(fù)位輸入。當(dāng)震蕩器工作時,RET引腳出現(xiàn)兩個機(jī)器周期以上的高電平將使單片機(jī)復(fù)位。ALE/ :當(dāng)訪問外部程序存儲器或數(shù)據(jù)存儲器時,ALE輸出脈沖用于鎖存地址的低8位字節(jié)。即使不訪問外部存儲器,ALE以時鐘震蕩頻率的1/16輸出固定的正脈沖信號,因此它可對

37、輸出時鐘或用于定時目的。要注意的是:每當(dāng)訪問外部數(shù)據(jù)存儲器時將跳過一個ALE脈沖時,閃爍存儲器編程時,這個引腳還用于輸入編程脈沖。如果必要,可對特殊寄存器區(qū)中的8EH單元的D0位置禁止ALE操作。這個位置后只有一條MOVX和MOVC指令A(yù)LE才會被應(yīng)用。此外,這個引腳會微弱拉高,單片機(jī)執(zhí)行外部程序時,應(yīng)設(shè)置ALE無效。PSEN:程序儲存允許輸出是外部程序存儲器的讀選通信號,當(dāng)AT89C51由外部程序存儲器讀取指令時,每個機(jī)器周期兩次PSEN 有效,即輸出兩個脈沖。在此期間,當(dāng)訪問外部數(shù)據(jù)存儲器時,這兩次有效的PSEN 信號不出現(xiàn)。EA/VPP:外部訪問允許。欲使中央處理器僅訪問外部程序存儲器,

38、EA端必須保持低電平。需要注意的是:如果加密位LBI被編程,復(fù)位時內(nèi)部會鎖存EA端狀態(tài)。如EA端為高電平,CPU則執(zhí)行內(nèi)部程序存儲器中的指令。閃爍存儲器編程時,該引腳加上+12V的編程允許電壓VPP,當(dāng)然這必須是該器件是使用12V編程電壓VPP。XTAL1:震蕩器反相放大器及內(nèi)部時鐘發(fā)生器的輸入端。XTAL2:震蕩器反相放大器的輸出端。4 設(shè)計所用軟件以及模塊程序設(shè)計4.1 所用軟件的簡單介紹 4.1.1 Keil簡介目前流行的51系列單片機(jī)開發(fā)軟件是德國Keil公司推出的Keil C51軟件,它是一個基于32位Windows環(huán)境的應(yīng)用程序,支持C語言和匯編語言編程,其6.0以上的版本將編譯和

39、仿真軟件統(tǒng)一為(通常稱為2)。Keil提供包括C編譯器、宏匯編、連接器、庫管理和一個功能強(qiáng)大的仿真調(diào)試器等在內(nèi)的完整開發(fā)方案,由以下幾部分組成:Vision IDE集成開發(fā)環(huán)境(包括工程管理器、源程序編輯器、程序調(diào)試器,見圖2)、C51編譯器、A51匯編器、LIB51庫管理器、BL51連接/定位器、OH51目標(biāo)文件生成器以及 Monitor-51、RTX51實(shí)時操作系統(tǒng)。應(yīng)用Keil進(jìn)行軟件仿真開發(fā)的主要步驟為:編寫源程序并保存建立工程并添加源文件設(shè)置工程編譯/匯編、連接,產(chǎn)生目標(biāo)文件程序調(diào)試。Keil使用“工程”(Project)的概念,對工程(而不能對單一的源程序)進(jìn)行編譯/匯編、連接等操

40、作。工程的建立、設(shè)置、編譯/匯編及連接產(chǎn)生目標(biāo)文件的方法非常易于掌握。首先選擇菜單File-New,在源程序編輯器中輸入?yún)R編語言或C語言源程序(或選擇File-Open,直接打開已用其它編輯器編輯好的源程序文檔)并保存,注意保存時必須在文件名后加上擴(kuò)展名.asm(.a51)或.c;然后選擇菜單Project-New Project,建立新工程并保存(保存時無需加擴(kuò)展名,也可加上擴(kuò)展名.uv2);工程保存后會立即彈出一個設(shè)備選擇對話框,選擇CPU后點(diǎn)確定返回主界面。這時工程管理窗口的文件頁(Files)會出現(xiàn)“Target1”,將其前面+號展開,接著選擇Source Group1,右擊鼠標(biāo)彈出快

41、捷菜單,選擇“Add File to Group Source Group1”,出現(xiàn)一個對話框,要求尋找并加入源文件(在加入一個源文件后,該對話框不會消失,而是等待繼續(xù)加入其它文件)。加入文件后點(diǎn)close返回主界面,展開“Source Group1”前面+號,就會看到所加入的文件,雙擊文件名,即可打開該源程序文件。緊接著對工程進(jìn)行設(shè)置,選擇工程管理窗口的Target1,再選擇Project-Option for TargetTarget1(或點(diǎn)右鍵彈出快捷菜單再選擇該選項),打開工程屬性設(shè)置對話框,共有8個選項卡,主要設(shè)置工作包括在Target選項卡中設(shè)置晶振頻率、在Debug選項卡中設(shè)置實(shí)

42、驗仿真板等,如要寫片,還必須在Output選項卡中選中“Creat Hex Fi”;其它選項卡內(nèi)容一般可取默認(rèn)值。工程設(shè)置后按F7鍵(或點(diǎn)擊編譯工具欄上相應(yīng)圖標(biāo))進(jìn)行編譯/匯編、連接以及產(chǎn)生目標(biāo)文件。 成功編譯/匯編、連接后,選擇菜單Debug-Start/Stop Debug Session(或按Ctrl+F5鍵)進(jìn)入程序調(diào)試狀態(tài),Keil提供對程序的模擬調(diào)試功能,內(nèi)建一個功能強(qiáng)大的仿真CPU以模擬執(zhí)行程序。Keil能以單步執(zhí)行(按F11或選擇Debug-Step)、過程單步執(zhí)行(按F10或選擇Debug-Step Over)、全速執(zhí)行等多種運(yùn)行方式進(jìn)行程序調(diào)試。如果發(fā)現(xiàn)程序有錯,可采用在線

43、匯編功能對程序進(jìn)行在線修改(Debug-Inline Assambly),不必執(zhí)行先退出調(diào)試環(huán)境、修改源程序、對工程重新進(jìn)行編譯/匯編和連接、然后再次進(jìn)入調(diào)試狀態(tài)的步驟。對于一些必須滿足一定條件(如按鍵被按下等)才能被執(zhí)行的、難以用單步執(zhí)行方式進(jìn)行調(diào)試的程序行,可采用斷點(diǎn)設(shè)置的方法處理(Debug-Insert/Remove Breakpoint或Debug-Breakpoints等)。在模擬調(diào)試程序后,還須通過編程器將.hex目標(biāo)文件燒寫入單片機(jī)中才能觀察目標(biāo)樣機(jī)真實(shí)的運(yùn)行狀況。Keil軟件Eval版(免費(fèi)產(chǎn)品)的功能與商業(yè)版相同,只是程序的最大代碼量不得超過2kB,但對初學(xué)者而言已是足夠,

44、目前大多使用者所用的多用的都是這款。Keil軟件由于其強(qiáng)大的軟件仿真功能,友好的用戶界面以及易于掌握的特點(diǎn)而受到工程技術(shù)人員的歡迎,有人甚至認(rèn)為Keil是目前最好的51單片機(jī)開發(fā)應(yīng)用軟件。4.1.2 Proteus簡介Protues軟件是英國Lab center electronics公司出版的EDA(電子設(shè)計自動化)工具軟件。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。它是目前最好的仿真單片機(jī)及外圍器件的工具。Proteus軟件具有其它EDA工具軟件(例:multisim)的功能。這些功能是:(1)原理布圖(2)PCB自動或人工布線(3)SPICE電路仿真。支持當(dāng)前的主

45、流單片機(jī),如51系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列、68000系列等。軟件仿真功能如下:1)提供軟件調(diào)試功能 2)提供豐富的外圍接口器件及其仿真RAM,ROM,鍵盤,馬達(dá),LED,LCD,AD/DA,部分SPI器件,部分IIC器件。這樣很接近實(shí)際。在訓(xùn)練學(xué)生時,可以選擇不同的方案,這樣更利于培養(yǎng)學(xué)生。3)提供豐富的虛擬儀器,利用虛擬儀器在仿真過程中可以測量外圍電路的特性,培養(yǎng)學(xué)生實(shí)際硬件的調(diào)試能力。4)具有強(qiáng)大的原理圖繪制功能。電路功能仿真特點(diǎn)如下:在Proteus繪制好原理圖后,調(diào)入已編譯好的目標(biāo)代碼文件:*.HEX,可以在Proteu

46、s的原理圖中看到模擬的實(shí)物運(yùn)行狀態(tài)和過程。PROTUES 是單片機(jī)課堂教學(xué)的先進(jìn)助手。Proteus不僅可將許多單片機(jī)實(shí)例功能形象化,也可將許多單片機(jī)實(shí)例運(yùn)行過程形象化。前者可在相當(dāng)程度上得到實(shí)物演示實(shí)驗的效果,后者則是實(shí)物演示實(shí)驗難以達(dá)到的效果。它的元器件、連接線路等卻和傳統(tǒng)的單片機(jī)實(shí)驗硬件高度對應(yīng)。這在相當(dāng)程度上替代了傳統(tǒng)的單片機(jī)實(shí)驗教學(xué)的功能,例:元器件選擇、電路連接、電路檢測、電路修改、軟件調(diào)試、運(yùn)行結(jié)果等。課程設(shè)計、畢業(yè)設(shè)計是學(xué)生走向就業(yè)的重要實(shí)踐環(huán)節(jié)。由于Proteus提供了實(shí)驗室無法相比的大量的元器件庫,提供了修改電路設(shè)計的靈活性、提供了實(shí)驗室在數(shù)量、質(zhì)量上難以相比的虛擬儀器、儀

47、表,因而也提供了培養(yǎng)學(xué)生實(shí)踐精神、創(chuàng)造精神的平臺。隨著科技的發(fā)展,“計算機(jī)仿真技術(shù)”已成為許多設(shè)計部門重要的前期設(shè)計手段。它具有設(shè)計靈活,結(jié)果、過程的統(tǒng)一的特點(diǎn)??墒乖O(shè)計時間大為縮短、耗資大為減少,也可降低工程制造的風(fēng)險。相信在單片機(jī)開發(fā)應(yīng)用中Proteus也能獲得愈來愈廣泛的應(yīng)用。軟件缺點(diǎn):器件庫不全,庫中一些很多重要芯片,在一定程度上會使電路仿真軟件出錯或亂碼,此時仿真效果不及硬件仿真。4.2 主程序設(shè)計流程圖圖4.1 主流程圖流程描述:當(dāng)接通電源后,調(diào)用顯示程序,顯示時間里程;之后調(diào)用小車控制程序和聲光報警程序進(jìn)行相應(yīng)的運(yùn)動和聲光報警。4.3 電機(jī)控制程序設(shè)計流程圖圖4.2 電機(jī)控制流程

48、圖流程描述:當(dāng)接通電源后,超聲波進(jìn)行檢測如果左右傳感器均無信號那么小車全速前進(jìn);當(dāng)并非左右均無信號時,如果左右傳感器均有信號那么小車減速后退;當(dāng)并非左右傳感器均有信號時,如果右邊傳感器有信號而左邊傳感器無信號,那么小車減速左轉(zhuǎn)前進(jìn);當(dāng)并非右邊傳感器有信號而左邊傳感器沒有信號時,如果左邊傳感器有信號而右邊傳感器無信號,那么小車減速右轉(zhuǎn)前進(jìn)。當(dāng)小車運(yùn)動到它的傳感器與所做運(yùn)動不相符時進(jìn)行下一次循環(huán)。4.4 聲光報警程序設(shè)計流程圖:圖4.3 聲光報警程序流程圖流程描述:當(dāng)接通電源后,超聲波進(jìn)行檢測如果左右傳感器均無信號那么無聲光報警;當(dāng)并非左右均無信號時,如果左右傳感器均有信號那么小車播放揮著翅膀的女

49、孩,同時紅色LED燈亮;當(dāng)并非左右傳感器均有信號時,如果右邊傳感器有信號而左邊傳感器無信號,那么小車播放同一首歌,同時黃色LED燈亮;當(dāng)并非右邊傳感器有信號而左邊傳感器沒有信號時,如果左邊傳感器有信號而右邊傳感器無信號,那么小車播放兩只蝴蝶,同時藍(lán)色LED燈亮。當(dāng)?shù)膫鞲衅餍盘柛淖儠r則聲光報警進(jìn)行下一次循環(huán)。4.5 顯示程序設(shè)計顯示程序主程序流程圖:圖4.4 顯示程序流程圖 流程描述:當(dāng)接通電源后,液晶顯示屏LCD1602進(jìn)行初始化,之后延時消除抖動,然后設(shè)置第一行顯示位置,在第一行顯示小車所走過的路程,再然后設(shè)置第二行位置,并在第二行位置上顯示日期和時間。顯示程序中的DS1302程序流程圖圖4

50、.5 DS1302程序流程圖流程描述:當(dāng)接通電源后,DS1302初始化,接著復(fù)位產(chǎn)生一個高電平,寫DS1302地址,然后向該地址寫數(shù)據(jù),地址增加,之后檢查數(shù)據(jù)寫完了嗎,如果沒完返回繼續(xù)寫DS1302地址,如果寫完了再次復(fù)位產(chǎn)生一個高電平,寫DS1302地址,之后將該地址數(shù)據(jù)讀出,地址增加,檢查數(shù)據(jù)讀完了嗎,如果沒有讀完則返回繼續(xù)寫DS1302地址,如果數(shù)據(jù)讀完了則顯示數(shù)據(jù)。結(jié)論根據(jù)本次設(shè)計要求,并通過認(rèn)真的分析設(shè)計課題的需求,并學(xué)習(xí)了單片機(jī)的工作原理及其使用方法,從而獨(dú)自設(shè)計智能小車的整個項目。經(jīng)過很多次的查找和比對,終于選擇了所要用的元器件,最終模擬出了整個小車的硬件系統(tǒng),然后結(jié)合課題任務(wù)和

51、小車硬件進(jìn)行了程序的編制,并對相應(yīng)的元件進(jìn)行了改換,最終通過了系統(tǒng)軟硬件的聯(lián)機(jī)調(diào)試,成功實(shí)現(xiàn)設(shè)計任務(wù)所要求的液晶顯示、聲光報警和智能避障三大功能。通過本次畢業(yè)設(shè)計,不僅是對我大學(xué)四年所學(xué)知識的考查,更是對我的自學(xué)能力和收集資料能力以及動手能力的考驗。本次畢業(yè)設(shè)計使我對一個項目的整體設(shè)計有了初步認(rèn)識,還認(rèn)識了幾種傳感器,并能獨(dú)立設(shè)計出其接口電路,再有對電路板的制作有了一定的了解,并學(xué)會了使用Proteus設(shè)計電路。本次畢業(yè)設(shè)計還使我意識到了實(shí)驗的重要性,在硬件制作和軟件調(diào)試的過程中,出現(xiàn)了很多問題,最終都是通過實(shí)驗的方法來解決的。還有以前對程序只是一個很模糊的概念,通過這次的畢業(yè)設(shè)計使我對程序完

52、全有了一個新的認(rèn)識,并能使用C語言熟練的進(jìn)行編程了。通過本次畢業(yè)設(shè)計,極大的鍛煉了我思考和分析問題的能力,并對機(jī)電一體化有了一個更深的認(rèn)識。致謝值此畢業(yè)論文完成之際,謹(jǐn)向給予我指導(dǎo)的 老師表示誠摯的感謝!從論文的開題,到系統(tǒng)的分析、設(shè)計、編碼實(shí)現(xiàn),以至論文的成稿, 老師給了我諸多的幫助和悉心的指導(dǎo),其嚴(yán)謹(jǐn)?shù)淖黠L(fēng)和認(rèn)真的分析態(tài)度感染了我,使我認(rèn)識到,學(xué)習(xí)不僅要注重方法,更要有嚴(yán)謹(jǐn)、主動的態(tài)度,這將對我以后的工作學(xué)習(xí)產(chǎn)生深遠(yuǎn)的影響!再次向我的指導(dǎo)老師 老師致以最誠摯的謝意!另外,我還要感謝所有在我畢業(yè)設(shè)計期間給予我?guī)椭奈业募胰撕?同學(xué)以及 同學(xué)。最后我要感謝 大學(xué),我為能成為這所學(xué)校的學(xué)生感到自

53、豪和驕傲!而我也要盡我所能將我從母校學(xué)到的知識應(yīng)用于實(shí)際工作當(dāng)中。衷心的感謝所有幫助我的指導(dǎo)老師和同學(xué)!由于自己的水平有限,論文中存有諸多不足,懇請老師、同學(xué)批評指正。參考文獻(xiàn)1 王文華.基于80 C5 1單片機(jī)的智能小車設(shè)計.山西電子技術(shù).2010,第4期2 董濤,劉進(jìn)英,蔣蘇. 基于單片機(jī)的智能小車的設(shè)計與制作.計算機(jī)測量與控 制.2010第2期3 陳永真,寧武,藍(lán)和慧.新編全國大學(xué)生電子設(shè)計競賽試題精選.第一版.電子工 業(yè)出版社,20094 高吉祥,唐朝京.全國大學(xué)生電子設(shè)計競賽系列教程數(shù)字系統(tǒng)與自動控制系統(tǒng) 設(shè)計第一版.電子工業(yè)出版社,20075 鄭峰,王巧芝,陳繪兵.51單片機(jī)應(yīng)用系統(tǒng)典型模塊開發(fā)大全.第一版.中國鐵 道出版社.2010:433-433,446-4466 上?;蹱q信息科技發(fā)展有限公司.直流電動機(jī)的控制.:1-17 葉斌電力電子應(yīng)用技術(shù)第一版.清華大學(xué)出版社,20068 沈建良,趙文宏,賈玉坤ATmega128單片機(jī)入門與提高面臨的挑戰(zhàn)第一版. 北京航空航天大學(xué)出版社,2009:433-4349 宋戈,黃鶴松,袁玉良51單片機(jī)應(yīng)用開發(fā)范例大全第一版.人民郵電出版 社,2010:274-27510 求是科技.單片機(jī)典型外圍器件及應(yīng)用實(shí)例.第一版.人民郵電出版社.2006:253- 25

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論