版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、廣東水利電力職業(yè)技術(shù)學(xué)院畢業(yè)論文題題 目目: 基于 EPM240 的音樂播放器的設(shè)計 姓姓 名名: 陳飛龍 學(xué)學(xué) 號號: 100212102 專業(yè)班級專業(yè)班級: 10 電子信息工程 所在系別所在系別: 自動化工程系 指導(dǎo)教師指導(dǎo)教師: 龔蘭芳老師 完成日期完成日期 二一三 年 二 月 十五 日廣東水利電力職業(yè)技術(shù)畢業(yè)論文摘要2基于基于 EPM240EPM240 的音樂播放器的設(shè)計的音樂播放器的設(shè)計【摘要摘要】 這次的音樂播放器在 CPLD 內(nèi)部設(shè)計出揚聲器發(fā)生及分頻模塊、音符預(yù)置數(shù)模塊、音符儲存模塊三個模塊,再配開關(guān)選擇模塊、彩燈閃爍控制模塊等必要的外圍電路,從而實現(xiàn)四首音樂選擇播放,并配有隨
2、音樂節(jié)奏產(chǎn)生燈柱效果等功能的 MIDI 音樂播放器。通過本次設(shè)計,可以實現(xiàn)出可編程邏輯器件 CPLD 在電子設(shè)計領(lǐng)域的應(yīng)用。 【關(guān)鍵詞關(guān)鍵詞】 EPM240,CPLD,音樂播放器,VHDL 語言畢業(yè)論文目錄3目錄目錄第 1 章 緒論.11.1 選題的目的與任務(wù).11.1.1 選題的背景.11.2 設(shè)計的基本內(nèi)容.11.2.1 CPLD 的設(shè)計.11.2.2 外圍電路的設(shè)計.2第 2 章 總體設(shè)計概述.12.1 關(guān)于 CPLD.12.2 設(shè)計思路.22.1.1 總體設(shè)計框圖與設(shè)計思路.22.2.2 CPLD 設(shè)計思路.32.2.3 外圍電路設(shè)計思路.3第 3 章 音樂播放器設(shè)計.43.1 工作原
3、理.43.2 模塊設(shè)計.43.2.1 音符預(yù)置數(shù)與發(fā)生及分頻模塊設(shè)計.43.2.2 彩燈閃爍控制模塊的設(shè)計.73.2.3 開關(guān)選擇模塊設(shè)計.73.2.4 音符儲存模塊設(shè)計.73.3 四首歌曲選擇.9第 4 章 外圍電路設(shè)計.104.1 時鐘電路.104.1.1 音樂節(jié)奏時鐘.104.2 音頻功率放大電路.114.3 音樂彩燈閃爍控制電路.11第 5 章 系統(tǒng)調(diào)試.125.1 系統(tǒng)調(diào)試.125.1.1 音樂節(jié)奏時鐘的調(diào)試.12畢業(yè)論文目錄45.1.2 音頻功率放大的調(diào)試.12結(jié)論.13參考文獻(xiàn).14附錄 A 總電路圖.15附錄 B PCB 圖.16附錄 C VHDL 源代碼.16致謝.29畢業(yè)論
4、文 第 1 章 緒論1第第 1 章章 緒論緒論1.1 選題的目的與任務(wù)選題的目的與任務(wù)本畢業(yè)設(shè)計其目的和任務(wù)是,讓學(xué)生掌握 EDA 的基本方法,熟悉一種 EDA 軟件(Quartus ),并能利用 EDA 軟件設(shè)計實際工程項目,并在開發(fā)板上成功下載;使我們將學(xué)到的理論知識應(yīng)用于實踐之中,更是培養(yǎng)學(xué)生動手能力和訓(xùn)練學(xué)生嚴(yán)格認(rèn)真的科學(xué)態(tài)度及工作作風(fēng),為即將踏入工作崗位打下堅實的基礎(chǔ); 通過本畢業(yè)設(shè)計應(yīng)達(dá)到以下幾點:1 培養(yǎng)我們的集體主義精神、嚴(yán)肅認(rèn)真的工作態(tài)度;熱愛勞動、團(tuán)結(jié)協(xié)作、愛護(hù)儀器、遵守紀(jì)律的優(yōu)良品德。2 掌握 IC 設(shè)計的基本原理及方法,掌握硬件描述語言 VHDL 對數(shù)字系統(tǒng)的設(shè)計方法和
5、技巧。3 掌握印制電路板的設(shè)計與制作的方法。4 掌握電子線路的安裝、焊接和調(diào)試的基本技能。 5. 培養(yǎng)我們分析、解決實際問題的能力和勇于創(chuàng)新的科學(xué)精神。 6. 培養(yǎng)我們分析尋找和排除電子電路中常見故障的能力. 7. 培養(yǎng)我們獨立寫出嚴(yán)謹(jǐn)?shù)?、有理論依?jù)的、實事求是的、文理通順的畢業(yè)論文的能力。1.1.1 選題的背景選題的背景CPLD 都是可編程邏輯器件,是在 PAL、GAL 等邏輯器件的基礎(chǔ)上發(fā)展起來的,與分立元件相比,具有速度快、容量大、功耗小和可靠性高等優(yōu)點。由于集成度高、設(shè)計方法先進(jìn)、現(xiàn)場可編程,它可以替代幾十甚至上百片通用 IC 芯片。這種芯片具有現(xiàn)場可編程和方案容易改動的特點。所以 F
6、PGA 和 CPLD 芯片收到世界范圍內(nèi)電子工程設(shè)計人員的廣泛關(guān)注和普遍歡迎,可以設(shè)計各種數(shù)字電路和數(shù)字系統(tǒng)。1.2 設(shè)計的基本內(nèi)容設(shè)計的基本內(nèi)容1.2.1 CPLD 的設(shè)計的設(shè)計1在 CPLD 內(nèi)部設(shè)計出揚聲器發(fā)生及分頻模塊、音符預(yù)置數(shù)模塊、音符儲存模塊三個模塊,再配開關(guān)選擇模塊、彩燈閃爍控制模塊等必要的外圍電路,從而實現(xiàn)四首畢業(yè)論文 第 1 章 緒論2音樂選擇播放,并配有隨音樂節(jié)奏產(chǎn)生燈柱效果等功能的 MIDI 音樂播放器。2. 設(shè)計音樂播放器系統(tǒng)的外圍硬件電路原理圖。3. 制作 PCB,并且軟、硬件調(diào)試成功。1.2.2 外圍電路的設(shè)計外圍電路的設(shè)計這次設(shè)計中用到了 4HZ 的頻率,如果直
7、接用自帶的 50MHZ 來分頻的話會給芯片的內(nèi)部資源占用太多,而且不方便每次在程序中對時鐘調(diào)試,所以我們在外部單獨接入一個時鐘信號,提供給音樂時鐘。從模電與數(shù)電課題中得知,采用 555 定時器構(gòu)成多諧振蕩器來實現(xiàn)這塊電路最合適又有零件,所以我們覺得用它來做時鐘。揚聲器因為我們準(zhǔn)備了 2 個從耳機與影響上拆下來的揚聲器,到最后那個音質(zhì)好則選用哪個。從各處質(zhì)料中得知由于 CPLD 內(nèi)部輸出的信號比較弱,因此必須在揚聲器前借一個音頻功率放大電路才能實現(xiàn)我們的要求,而且在該電路接入滑動變阻器,這樣可以通過調(diào)節(jié)滑動變阻器,改變放大器的放大倍數(shù),從而調(diào)試出放大出來音量。畢業(yè)論文 第 2 章 總體設(shè)計概述1
8、第第 2 章章 總體設(shè)計概述總體設(shè)計概述2.1 關(guān)于關(guān)于 CPLDMAX II 器件系列是一種非易失性、即用性可編程邏輯系列,它采用了一種突破性的新型 CPLD 架構(gòu)。這種新型架構(gòu)的成本是原先 MAX 器件的一半,功耗是其十分之一,密度是其四倍,性能卻是其兩倍。這些超級性能是在提供了所有 MAX 系列 CPLD 先進(jìn)特性的架構(gòu)的基礎(chǔ)上,根據(jù) Altera 專家們的意見而重新采用基于查找表的架構(gòu)而得到的。這種基于查找表的架構(gòu)在最小的 I/O 焊盤約束的空間內(nèi)提供了最多的邏輯容量。因此,MAX II CPLD 是所有 CPLD 系列產(chǎn)品中成本最低、功耗最小和密度最高的器件。基于成本優(yōu)化的 0.18
9、 微米 6 層金屬 Flash 工藝,MAX II 器件系列具有 CPLD 所有的優(yōu)點,例如非易失性、即用性、易用性和快速傳輸延時性。以滿足通用性,低密度邏輯應(yīng)用為目標(biāo),MAX II 器件成為接口橋接、I/O 擴(kuò)展、器件配置和上電順序等應(yīng)用最理想的解決方案。除這些典型的 CPLD 應(yīng)用之外,MAX II 器件還能滿足大量從前在FPGA、ASSP 和標(biāo)準(zhǔn)邏輯器件中實現(xiàn)的低密度可編程邏輯需求。MAX II 器件提供的密度范圍從 240 到 2210 個邏輯單元(LE),最多達(dá) 272 個用戶I/O 管腳。本次設(shè)計核心模塊 CPLD 采用 ALTERA 公司 MAX II 系列的 EPM240T10
10、0C 芯片。該芯片共有 100 個管腳,內(nèi)部 240 個邏輯單元,如圖 1 所示,為該芯片的封裝圖及管腳功能。畢業(yè)論文 第 2 章 總體設(shè)計概述2123456ABCD654321DCBATitleNumberRevisionSizeBDate:8-May-2009 Sheet of File:D:dianlutuMyDesign2.ddbDrawn By:I/O1I/O2I/O3I/O4I/O5I/O6I/O7I/O8VCCIO9GNDIO10GNDINT11IOCLK12VCCINT13IOCLK14I/O15I/O16I/O17I/O18I/O19I/O20I/O21TMS22TDI23T
11、CK24TDO25I/O26I/O27I/O28I/O29I/O30VCCIO31GNDIO32I/O33I/O34I/O35I/O36I/O37I/O38I/O39I/O40I/O41I/O42DEV_OE43DEV_CLRn44VCCIO45GNDIO46I/O47I/O48I/O49I/O50I/O51I/O52I/O53I/O54I/O55I/O56I/O57I/O58VCCIO59GNDIO60I/O61IOCLK62VCCINT63IOCLK64GNDINT65I/O66I/O67I/O68I/O69I/O70I/O71I/O72I/O73I/O74I/O75I/O76I/O77I
12、/O78GNDIO79VCCIO80I/O81I/O82I/O83I/O84I/O85I/O86I/O87I/O88I/O89I/O90I/O91I/O92GNDIO93VCCIO94I/O95I/O96I/O97I/O98I/O99I/O100EPM240T100MAX II圖 1 EPM240T100C 管腳封裝圖及管腳功能說明2.2 設(shè)計思路設(shè)計思路2.1.1 總體設(shè)計總體設(shè)計框圖與設(shè)計思路框圖與設(shè)計思路如圖 2 所示是這次音樂播放器的工作原理圖。其中中心模塊式 CPLD 芯片,內(nèi)部由音調(diào)發(fā)生器、音樂編碼器和彩燈閃爍控制器三個模塊組成。我們通過開關(guān)來選擇歌曲,音樂編碼器里已經(jīng)存儲了 4
13、首歌曲,我們通過選擇不同的開關(guān)來播放不同的歌曲。音樂編碼器控制著音調(diào)發(fā)生器和彩燈閃爍控制器,每當(dāng)音樂節(jié)奏時鐘的脈沖到來是時,音樂編碼器就把當(dāng)前要播放的音符的編碼送給音調(diào)發(fā)生器和彩燈閃爍控制器。音調(diào)發(fā)生器就會產(chǎn)生相應(yīng)的音符頻率給音頻放大器,經(jīng)過放大由揚聲器放出,產(chǎn)生音樂。彩燈閃爍控制器根據(jù)編碼點亮相應(yīng)的彩燈,實現(xiàn)燈柱效果。核心部分 CPLD 我們利用 VHDL 語言來完成,其他部分通過外圍電路實現(xiàn)。畢業(yè)論文 第 2 章 總體設(shè)計概述3圖 2 音樂播放器的工作原理圖2.2.2 CPLD 設(shè)計思路設(shè)計思路在這里我們用的是老師所提供的學(xué)習(xí)開發(fā)板,板中所用的就是 EPM240 中的 CPLD芯片。老師所
14、提供的 CPLD 型芯片,其內(nèi)部邏輯單元數(shù)為 240 個,只要對程序進(jìn)行一定的優(yōu)化處理,即可以滿足所有的設(shè)計要求。為了使整個設(shè)計盡量簡潔,4 首歌曲均只有高潮部分,這樣聽起來容易辨認(rèn)與熟悉,同時也能節(jié)省芯片內(nèi)部資源。2.2.3 外圍電路設(shè)計思路外圍電路設(shè)計思路這次設(shè)計中用到了 4HZ 的頻率,如果直接用自帶的 50MHZ 來分頻的話會給芯片的內(nèi)部資源占用太多,而且在程序中對時鐘調(diào)試不方便,所以我們在外部單獨接入一個時鐘信號,提供給音樂時鐘。從模電與數(shù)電課題中得知,采用 555 定時器構(gòu)成多諧振蕩器來實現(xiàn)這塊電路最合適又有零件,所以我們覺得用它來做時鐘。揚聲器因為我們準(zhǔn)備了 2 個從耳機與影響上
15、拆下來的揚聲器,到最后那個音質(zhì)好則選用哪個。從個處質(zhì)料中得知由于 CPLD 內(nèi)部輸出的信號比較弱,因此必須在揚聲器前借一個音頻功率放大電路才能實現(xiàn)我們的要求,而且在該電路接入滑動變阻器,這樣可以通過調(diào)節(jié)滑動變阻器,改變放大器的放大倍數(shù),從而調(diào)試出放大出來音量。畢業(yè)論文第 3 章 音樂播放器設(shè)計4第第 3 章章 音樂播放器設(shè)計音樂播放器設(shè)計3.1 工作原理工作原理MIDI 音樂的基本原理為:組成樂曲的每一個音符的頻率值及其持續(xù)的時間是樂曲能連續(xù)演奏的兩個基本數(shù)據(jù),因此只要控制輸出到揚聲器的激勵信號頻率的高低和每一個頻率信號的持續(xù)時間,就可以使揚聲器發(fā)生連續(xù)的樂曲。如圖 3 所示,為 CPLD 內(nèi)
16、部芯片設(shè)計原理圖。圖 3 芯片原理3.2 模塊設(shè)計模塊設(shè)計3.2.1 音符預(yù)置數(shù)與發(fā)生及分頻模塊設(shè)計音符預(yù)置數(shù)與發(fā)生及分頻模塊設(shè)計在設(shè)計中音符產(chǎn)生是通過音符預(yù)置數(shù)模塊以查找表的形式把預(yù)先設(shè)定好的數(shù)置給發(fā)生及分頻模塊的可變模值計數(shù)器實現(xiàn)分頻,得到相應(yīng)的頻率后輸出給音頻放大器,在通過揚聲器發(fā)出。根據(jù)可變模值計數(shù)器的設(shè)計原理及音符的分頻系數(shù),可計算出樂曲中各音符的預(yù)置數(shù),如表 3.1 所示。而在音符的選擇上,我們選擇了最廣泛應(yīng)用的16 個音。開關(guān)選擇模塊發(fā)生及分頻模塊音符儲存模塊音符預(yù)置數(shù)模塊彩燈閃爍模塊揚聲器彩燈 50MHZ 基準(zhǔn)時鐘4HZ 時鐘畢業(yè)論文第 3 章 音樂播放器設(shè)計5表 3.1 音符
17、的分頻數(shù)和預(yù)置數(shù)音名分頻系數(shù)初始值低音 551023089低音 645453646低音 740504141中音 138224369中音 234054786中音 330345157中音 428635328中音 525515640中音 622735918中音 720256166高音 119116280高音 217036488高音 315176674高音 414326759高音 512756816休止符 008191發(fā)生及分頻模塊由可變模值計數(shù)器實現(xiàn)。由于系統(tǒng)要求產(chǎn)生出的信號頻率較高,因此選用 4MHz 高頻脈沖作為可變模值計數(shù)器的技計數(shù)脈沖。而為了減少輸出的偶次諧波分量,最后輸出到揚聲器的波形應(yīng)為
18、對稱方波,因此在到達(dá)揚聲器之前,有一個 2分頻的分頻器。所以,上圖所給出的分頻系數(shù)是用分頻后的 2MHz 基礎(chǔ)上計算得出的。各音階頻率及相應(yīng)的分頻如表 3.2 所示。程序如:process(PreCLK, Tone)-置數(shù)分頻variable Count11: integer range 0 to 16#1FFF#;begin if PreCLKevent and PreCLK=1 thenif Count11=16#1FFF# thencount11:=Tone;FullSpkS=1;else Count11:=Count11+1;畢業(yè)論文第 3 章 音樂播放器設(shè)計6FullSpkSdigd
19、igdigdigdigToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexCLK4HZ,dig=dig1,ToneIndex=ToneIndex);u2: ToneTaba PORT MAP(Index=ToneIndex, Tone=Tone);u3: Speakera PORT MAP(clk=CLK, Tone=Tone, SpkS=SPKOUT);u4:
20、 cd port map(Tone=ToneIndex, lights=lights);u5: kg port map(switch=switch,dig=dig1);END;-開關(guān)選擇模塊library ieee;use ieee.std_logic_1164.all;entity kg isport(switch:in std_logic_vector(3 downto 0); dig:out integer range 0 to 4);end;architecture one of kg isbeginprocess(switch)begincase switch iswhen 0001
21、=digdigdigdigdig lights lights lights lights lights lights lights lights lights lights lights lights lights lights lights lightsNULL;end case;end process;end;-揚聲器發(fā)生及分頻模塊library ieee;use ieee.std_logic_1164.all;entity Speakera isPORT(clk: IN STD_LOGIC; Tone: IN INTEGER RANGE 0 TO 16#1FFF#; SpkS: OUT
22、STD_LOGIC);END ;architecture one of Speakera issignal PreCLK:std_logic;signal FullSpkS:std_logic;beginprocess(clk)variable count4:integer range 0 to 11;-12分頻=4.16MHZbeginPreCLK=0;if Count4=11 THEN PreCLK=1; Count4:=0;elsif clkEVENT and clk=1 then Count4:=Count4+1;end if;end process;process(PreCLK, T
23、one)-置數(shù)分頻variable Count11: integer range 0 to 16#1FFF#;begin 畢業(yè)論文附錄 C VHDL 源代碼19if PreCLKevent and PreCLK=1 thenif Count11=16#1FFF# thencount11:=Tone;FullSpkS=1;else Count11:=Count11+1;FullSpkS=0;end if;end if;end process;process(FullSpkS)-二分頻variable Count2:std_logic;beginif FullSpkSEVENT and FullS
24、pkS=1 thenCount2:=NOT Count2;if Count2=1 then SpkS=1;else SpkS Tone Tone Tone Tone Tone Tone Tone Tone Tone Tone Tone Tone Tone Tone Tone ToneNULL;end case;end process;end;-音符儲存模塊library ieee;use ieee.std_logic_1164.all;entity NoteTabs isPORT(clk: IN STD_LOGIC;畢業(yè)論文附錄 C VHDL 源代碼20 dig: in integer ran
25、ge 0 to 4; ToneIndex: OUT INTEGER RANGE 0 TO 15);END;architecture one of NoteTabs issignal Counter :integer range 0 to 127;beginprocess(dig,clk)begin if (dig=1 or dig=2 or dig=3 or dig=4) thenif Counter=128 then Counter=0;elsif(clkevent and clk=1) thenCounter=Counter+1;end if;elsecounterToneIndexTon
26、eIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIn
27、dexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndex
28、ToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexTon
29、eIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexNULL;end case;elsif dig=2 then-濤聲依舊case Counter iswhen 00=To
30、neIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneI
31、ndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneInde
32、xToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexTo
33、neIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexNULL;end case;elsif dig=3 then-隱形的翅膀case Counter i
34、swhen 00=ToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexTon
35、eIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIn
36、dexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndex
37、ToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexNULL;end case;elsif dig=4 then-我愿意case Counter iswhen 00=ToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexToneIndexTon
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 廣安職業(yè)技術(shù)學(xué)院《短片拍攝與剪輯》2023-2024學(xué)年第一學(xué)期期末試卷
- 三年級科學(xué)下冊第一單元土壤與生命3肥沃的土壤教案蘇教版
- 藥品知識培訓(xùn)課件
- 產(chǎn)品成本控制教學(xué)培訓(xùn)課件
- 《糖尿病足的預(yù)防》課件
- 確保培訓(xùn)課件內(nèi)容
- 《氧化硫滿意》課件
- 《漢字的演變過程》課件
- 培訓(xùn)課件專員
- 學(xué)校保衛(wèi)檢查考核獎懲制度
- 樁基檢測選樁方案
- 腦梗塞老人的營養(yǎng)護(hù)理措施
- 電動汽車膠粘劑市場洞察報告
- 不銹鋼樓梯扶手安裝合同
- 開荒保潔物業(yè)管理開荒保潔服務(wù)實施方案
- GA/T 2015-2023芬太尼類藥物專用智能柜通用技術(shù)規(guī)范
- 新華DCS軟件2.0版使用教程-文檔資料
- 住所的承諾書范文
- 售前解決方案部門管理規(guī)章制度
- 幼兒園游戲活動材料投放與指導(dǎo)課件
- 《城市道路工程設(shè)計規(guī)范》宣貫
評論
0/150
提交評論