數(shù)字電路課程設(shè)計(jì)指導(dǎo)書_第1頁
數(shù)字電路課程設(shè)計(jì)指導(dǎo)書_第2頁
數(shù)字電路課程設(shè)計(jì)指導(dǎo)書_第3頁
數(shù)字電路課程設(shè)計(jì)指導(dǎo)書_第4頁
數(shù)字電路課程設(shè)計(jì)指導(dǎo)書_第5頁
已閱讀5頁,還剩11頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、數(shù)字邏輯電路課程設(shè)計(jì)南京師范大學(xué)計(jì)算機(jī)學(xué)院2013 12數(shù)字邏輯電路課程設(shè)計(jì)指導(dǎo)書一、課程設(shè)計(jì)目的課程設(shè)計(jì)作為數(shù)字邏輯電路課程體系的重要組成部分, 目的是使學(xué)生進(jìn)一步理解課程內(nèi)容, 基本掌握數(shù)字系統(tǒng)設(shè)計(jì)和調(diào)試的方法, 增加集成電路應(yīng)用知識(shí), 培養(yǎng)學(xué)生實(shí)際動(dòng)手能力以及分析、解決問題的能力。按照本學(xué)科教學(xué)培養(yǎng)計(jì)劃要求, 在學(xué)完專業(yè)基礎(chǔ)課電路與電子技術(shù)和數(shù)字邏輯電路課程后, 應(yīng)進(jìn)行課程設(shè)計(jì), 其目的是使學(xué)生更好地鞏固和加深對(duì)基礎(chǔ)知識(shí)的理解, 學(xué)會(huì)設(shè)計(jì)中小型數(shù)字系統(tǒng)的方法, 獨(dú)立完成調(diào)試過程, 增強(qiáng)學(xué)生理論聯(lián)系實(shí)際的能力, 提高學(xué)生電路分析和設(shè)計(jì)能力。 通過實(shí)踐教學(xué)引導(dǎo)學(xué)生在理論指導(dǎo)下有所創(chuàng)新, 為專

2、業(yè)課的學(xué)習(xí)和日后工程實(shí)踐奠定基礎(chǔ)。二、課程設(shè)計(jì)內(nèi)容與要求1 教學(xué)基本要求要求學(xué)生獨(dú)立完成選題設(shè)計(jì),掌握數(shù)字系統(tǒng)設(shè)計(jì)方法;完成系統(tǒng)的組裝配及調(diào)試工作;在課程設(shè)計(jì)中要注重培養(yǎng)工程質(zhì)量意識(shí),并寫出課程設(shè)計(jì)報(bào)告。教師應(yīng)事先準(zhǔn)備好課程設(shè)計(jì)任務(wù)書、 指導(dǎo)學(xué)生查閱有關(guān)資料, 安排適當(dāng)?shù)臅r(shí)間進(jìn)行答疑,幫助學(xué)生解決課程設(shè)計(jì)過程中的問題。2能力培養(yǎng)要求2.1 通過查閱手冊(cè)和有關(guān)文獻(xiàn)資料培養(yǎng)學(xué)生獨(dú)立分析和解決實(shí)際問題的能力。2.2 通過實(shí)際電路方案的分析比較、設(shè)計(jì)計(jì)算、元件選取、組裝調(diào)試等環(huán)節(jié),掌握簡單實(shí)用電路的分析方法和工程設(shè)計(jì)方法。2.3 掌握常用儀器設(shè)備的使用方法,學(xué)會(huì)簡單的實(shí)驗(yàn)調(diào)試,提高動(dòng)手能力。2.4 綜

3、合應(yīng)用課程中學(xué)到的理論知識(shí)去獨(dú)立完成一個(gè)設(shè)計(jì)任務(wù)(可自擬創(chuàng)新課題) 。2.5 培養(yǎng)嚴(yán)肅認(rèn)真的工作作風(fēng)和嚴(yán)謹(jǐn)?shù)目茖W(xué)態(tài)度。三、課程設(shè)計(jì)報(bào)告的基本格式課程設(shè)計(jì)報(bào)告要給出結(jié)構(gòu)框圖, 對(duì)總體設(shè)計(jì)思想進(jìn)行闡述, 井給出每個(gè)單元邏輯電路且論述其工作原理,文字說明部分要求內(nèi)容完整,言簡意賅,書寫工整。電路圖規(guī)范、邏輯關(guān)系正確,表達(dá)完整清楚。其基本內(nèi)容與要求如下:1 總體設(shè)計(jì)思想。根據(jù)功能要求確定整個(gè)電路的組成以及各單元電路完成的功能。2 繪制系統(tǒng)邏輯框圖,給出各單元電路之間的關(guān)系。3 單元電路的設(shè)計(jì)及總體電路設(shè)計(jì)圖(器件型號(hào)、元件參數(shù)應(yīng)標(biāo)出) 。4 系統(tǒng)的實(shí)現(xiàn)與調(diào)試(包括功能仿真和時(shí)序仿真結(jié)果) 。5 設(shè)計(jì)總

4、結(jié)。四、課程設(shè)計(jì)考核1. 每位(組)學(xué)生獨(dú)立進(jìn)行電路設(shè)計(jì),在畫出基本電路圖并經(jīng)理論驗(yàn)證無錯(cuò)誤后可進(jìn)入實(shí)驗(yàn)室完成電路元器件的組裝與調(diào)試工作。每位學(xué)生上交一份課程設(shè)計(jì)報(bào)告。2. 在檢驗(yàn)設(shè)計(jì)作品時(shí)對(duì)學(xué)生所設(shè)計(jì)的內(nèi)容和相關(guān)知識(shí)進(jìn)行質(zhì)疑和答辯。3. 根據(jù)電路設(shè)計(jì)和電路調(diào)試情況以及課程設(shè)計(jì)報(bào)告、質(zhì)疑成績、課程設(shè)計(jì)過程表現(xiàn),由指導(dǎo)教師按優(yōu)、良、中、及格、不及格評(píng)定成績。具體時(shí)間安排: ( 2012 級(jí))2.17 動(dòng)員并確定選題與分組;2.18 查找資料,設(shè)計(jì)電路(程序)模塊;2.19 組裝各個(gè)模塊并功能仿真、調(diào)試;2.20 完善設(shè)計(jì),完成課程設(shè)計(jì);2.21 驗(yàn)收。撰寫設(shè)計(jì)報(bào)告。提前完成的同學(xué)可以提前驗(yàn)收。驗(yàn)

5、收包括:陳述完成的工作回答相關(guān)問題演示具體成果。最終還需要提交: 課程設(shè)計(jì)報(bào)告 (一人一份)包括電子與紙質(zhì)稿。設(shè)計(jì)電路與源代碼。由課代表將每組一個(gè)文件夾11設(shè)計(jì)題目僅供參考,自己也可選擇其他難度相當(dāng)?shù)念} 目(經(jīng)老師同意)。附錄:課程設(shè)計(jì)參考題目設(shè)計(jì)課題一、算術(shù)運(yùn)算器一.設(shè)計(jì)任務(wù)兩位十進(jìn)制數(shù)加法、兩位十進(jìn)制數(shù)加法、二位十進(jìn)制乘法。、參考設(shè)計(jì)方案系統(tǒng)總體設(shè)計(jì)(頂層設(shè)計(jì))圖1-1圖1-2alu2 10en prod uct_i (3:0)圖1-1中al代表被加數(shù)的個(gè)位,a2代表被加數(shù)的十位;b1代表加數(shù)的個(gè)位,b2代表加數(shù)的十位。圖1-2中a1代表被減數(shù)的個(gè)位,a2代表被減數(shù)的十 位;b1代表減數(shù)的

6、個(gè)位,b2代表減數(shù)的十位。bip:0)productj(3:&)b2(3:0) :-product_4(3:0) count(1:0)圖1-3注意這里減法的實(shí)現(xiàn)整體無進(jìn)位(因?yàn)闇p法是變?yōu)檠a(bǔ)碼的加法)的結(jié)果是負(fù)數(shù)位間無進(jìn)位的要進(jìn)行減6(實(shí)際為加上6的補(bǔ)碼)修正.設(shè)計(jì)課題二、交通燈控制器一、實(shí)驗(yàn)?zāi)康呐c任務(wù)學(xué)習(xí)利用計(jì)數(shù)器和狀態(tài)機(jī)設(shè)計(jì)十字路口交通燈控制器。設(shè)計(jì)一個(gè)簡單十字路口交通燈控制器。該控制器控制甲乙兩道的紅、黃、綠三色燈,指揮交通和行人安全通行。復(fù)雜十字路口交通燈控制器要比簡單交通燈控制器增加一些功能,如倒計(jì)時(shí)時(shí)間顯示, 左轉(zhuǎn)彎(左拐)、指示燈閃爍及特殊緊急情況的處理等。二、參考設(shè)計(jì)方案

7、下面以下面的十字路口交通燈系統(tǒng)為例,來說明上述功能的實(shí)現(xiàn):東西方向(甲道)循環(huán)為綠燈45s,黃燈5s,左拐燈15s,黃燈5s,紅燈40s,黃燈5s南北方向(乙道)循環(huán)為 紅燈65s,黃燈5s,綠燈20s,黃燈5s,左拐燈15s,黃燈5s 其一個(gè)工作周期的時(shí)間關(guān)系示意圖如下:綠45s黃5s 左拐15秒黃5s紅40s黃5s甲道乙道紅65s展5s綠20s 伎5s :左拐15s黃5s|i11hl)狀態(tài)表不s0s1s2s3s4s5s6s7可以將一個(gè)周期劃分成以下8種狀態(tài):50: cnt=0-44 :甲道通行,乙道禁止;51: cnt=45-49 :甲道停車,乙道禁止;52: cnt=50-64;甲道左拐

8、,乙道禁止;53: cnt=65-69 ;甲道停車,乙道禁止;54: cnt=70-89 ;甲道禁止,乙道通行;55: cnt=90-94 ;甲道禁止,乙道停車;56: cnt=95-109;甲道禁止,乙道左拐;57: cnt=110-114;甲道禁止,乙道停車;具體實(shí)現(xiàn)可采用 vhdl/verilog 硬件描述語言設(shè)計(jì)。設(shè)計(jì)課題三、數(shù)子頻率計(jì)、課程設(shè)計(jì)內(nèi)容及要求本次課程設(shè)計(jì)要求設(shè)計(jì)并用cpld實(shí)現(xiàn)一個(gè)數(shù)字頻率計(jì),具體設(shè)計(jì)要求如下:測(cè)量頻率范圍:10hz100khz 精度: a f / f < ±2 %系統(tǒng)外部時(shí)鐘:1024hz 測(cè)量波形: 方波vp-p = 35 v編程語言:

9、verilog hdl / vhdl、系統(tǒng)總體設(shè)計(jì)待測(cè)信號(hào) 1024hz標(biāo)準(zhǔn)時(shí)鐘r>-reset >系統(tǒng)框圖考慮到測(cè)量方便,將數(shù)字 頻率計(jì)劃分為四檔:1099hz、100 999hz、1000 9999hz、 1000099999hz。這樣可以保證每一檔三位有效數(shù) 字,而且第三位有效數(shù)字誤差 在±2以內(nèi)時(shí)即可達(dá)到精度要 求。三個(gè)輸入信號(hào):待測(cè)信號(hào)、標(biāo) 準(zhǔn)時(shí)鐘脈沖信號(hào)和復(fù)位脈沖信 號(hào)。設(shè)計(jì)細(xì)化要求:頻率計(jì)能根據(jù)輸入待測(cè)信號(hào)頻率 自動(dòng)選擇量程,并在超過最大量程時(shí) 顯示過量程,當(dāng)復(fù)位脈沖到來時(shí), 系 統(tǒng)復(fù)位,重新開始計(jì)數(shù)顯示頻率。基于上述要求,可以將系統(tǒng)基本劃分為四個(gè)模塊,分別

10、為分頻、計(jì)數(shù)、鎖存和控制,并可以確定基本的連接和反饋,如上圖所示。三、系統(tǒng)及模塊設(shè)計(jì)與說明h士jblflfl_rt_rlflh12n0»如左圖所示為數(shù)字頻率 計(jì)測(cè)量頻率的原理圖。 已知給定標(biāo)準(zhǔn)時(shí)鐘脈沖高電平時(shí)間t0,將此高電平信號(hào)作為計(jì)數(shù)器閘 門電平,通過計(jì)數(shù)器得n到to時(shí)間內(nèi)待測(cè)脈沖的個(gè)數(shù) n,則有f =。由圖不可以看出,一個(gè)閘門電平時(shí)間內(nèi)計(jì)數(shù)to的最大誤差為 n±1,為保證誤差要求取 n>100o經(jīng)計(jì)算,四檔的閘門電平時(shí)間t0分別為10s、1s、0.1s和0.01s。僅對(duì)計(jì)數(shù)器計(jì)數(shù)值 n進(jìn)行簡單的移位即可得到結(jié)果。產(chǎn)生閘門電平 的工作由分頻器完成。分頻器采用計(jì)數(shù)分

11、頻的方法,產(chǎn)生計(jì)數(shù)閘門電平和一系列控制脈沖,并接受計(jì)數(shù)器和控制器的反饋。控制器主要用來判斷計(jì)數(shù)器計(jì)數(shù)是否有效,從而控制檔位轉(zhuǎn)換,鎖存器打開、 關(guān)閉和設(shè)定值。計(jì)數(shù)器在分頻器和控制器的作用下對(duì)輸入待測(cè)信號(hào)計(jì)數(shù),并把計(jì)數(shù)值輸出,在計(jì)數(shù)溢出時(shí)向控制器和分頻器發(fā)送溢出脈沖。設(shè)計(jì)課題四、智力競賽搶答電路鎖存器用來儲(chǔ)存有效計(jì)數(shù)值,以穩(wěn)定輸出。設(shè)計(jì)任務(wù)與要求1 .搶答器同時(shí)供8名選手或8個(gè)代表隊(duì)比賽,分別用 8個(gè)按鈕0 7表示。2 .設(shè)置一個(gè)系統(tǒng)清除和搶答控制開關(guān)space,該開關(guān)由主持人控制。3 .搶答器具有鎖存與顯示功能。即選手按動(dòng)按鈕,鎖存相應(yīng)的編號(hào),并在led數(shù)碼管上顯示,同時(shí)燈亮提示。選手搶答實(shí)行鎖

12、存讓然后進(jìn)行編碼并用七段顯示器顯示,選手搶答權(quán)利平等,搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清除為止。4 .搶答器具有定時(shí)搶答功能,當(dāng)主持人啟動(dòng)"開始"鍵后,定時(shí)器進(jìn)行加計(jì)時(shí),同時(shí)揚(yáng)聲器發(fā)出短聲響。5 .參賽選手在設(shè)定的時(shí)間內(nèi)進(jìn)行搶答,搶答有效,定時(shí)器停止工作,顯示器上顯示選手的 編號(hào)和搶答的時(shí)間,并保持到主持人將系統(tǒng)清除為止。6 .如果定時(shí)時(shí)間已到,無人搶答,本次搶答無效,系統(tǒng)報(bào)警并禁止搶答,定時(shí)顯示器上顯 示9。二、參考設(shè)計(jì)方案:數(shù)字搶答器總體方框圖:如上圖所示為總體方框圖。 其工作原理為:接通電源后,主持人將開關(guān)撥到"清除”狀態(tài), 搶答器處于禁止?fàn)顟B(tài),編號(hào)顯示

13、器滅燈,定時(shí)器顯示設(shè)定時(shí)間;主持人將開關(guān)置“開始”狀 態(tài),宣布"開始"搶答器工作。定時(shí)器計(jì)時(shí)。選手在定時(shí)時(shí)間內(nèi)搶答時(shí),搶答器完成:編號(hào)鎖 存,編號(hào)顯示、燈亮提示。當(dāng)一輪搶答之后,定時(shí)器停止、禁止二次搶答。如果再次搶答必 須由主持人再次操作"清除"和"開始"狀態(tài)開關(guān)。設(shè)計(jì)課題五、電梯控制器的設(shè)計(jì)與實(shí)現(xiàn)一、設(shè)計(jì)任務(wù)本電梯控制器分為主控制器和分控制器。主控制器是電梯內(nèi)部的控制器,每個(gè)樓層有個(gè)分控制器。主控制器的功能:(1)完成6個(gè)樓層多用戶的載客服務(wù)控制。(2)電梯運(yùn)行時(shí)顯示電梯的運(yùn)行方向和所在的樓層。(3)當(dāng)電梯到達(dá)選擇的樓層時(shí),電梯自動(dòng)

14、開門。(4)具有提前關(guān)電梯門和延時(shí)關(guān)電梯門的功能。(5)響應(yīng)分控制器的有效請(qǐng)求,如果到達(dá)有請(qǐng)求的樓層,電梯自動(dòng)開門。分控制器的功能:(1)顯示電梯的運(yùn)行狀態(tài)和所在的樓層。(2)顯示乘客的上升和下降請(qǐng)求。分控制器的有效請(qǐng)求原則:(1)電梯處于等待狀態(tài)時(shí),上升和下降請(qǐng)求都響應(yīng)。(2)電梯處于上升狀態(tài)時(shí),有上升請(qǐng)求的分控制器所在樓層數(shù)大于電梯所在的樓層數(shù)。(3)電梯處于下降狀態(tài)時(shí),有下降請(qǐng)求的分控制器所在樓層數(shù)小于電梯所在的樓層數(shù)。二、參考設(shè)計(jì)方案控制器的功能模塊如上圖所示,包括主控制器、分控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達(dá)的樓層,通過主控制器的處理,電梯開

15、始運(yùn)行,狀態(tài)顯示器顯示電梯的運(yùn)行狀態(tài),電梯所在的樓層數(shù)通過譯碼器譯碼從而在樓層顯示器中顯示。分控制器把有效的請(qǐng)求傳給主控的樓層數(shù)。由于分控制器相對(duì)簡單很多,所以主控制器是核心部分??傮w(頂層設(shè)方t圖一基于 vhdd一一二一二 一 -a=一1 一 二3- -l八。 k'akrl2:3:llz3口寫后 h33。與&1,2:工。56 llhgluutjijiljo口口口 口口© 。o口口 gggago c u i c - - 聲ou ccc&cccccacl k full & ho quick cl r g_u jl c_u2 c_u3c-u1poorc 1

16、 a _ &j'c_dsl毛#r互一 .c_d3le nfc_c_d' s 4 . qj1c_ d-=lle id_d s _c_ dsurhi ahrdxaliardoorx, , 01lsoc * . 3b ojl e d_c_e> ;5 * a 01l_ e 口_ 口 e 5 _ _ 0ui r h h h aud)ri l fl r mupdowmdsg3g5-時(shí)鐘信號(hào)(頻率為2 hz)-超載、關(guān)門中斷、提前關(guān)門清除報(bào)警信號(hào)-電梯外人的上升請(qǐng)求信號(hào)-電梯外人的下降請(qǐng)求信號(hào)-電梯內(nèi)人的請(qǐng)求信號(hào)-到達(dá)樓層信號(hào)-電梯門控制信號(hào)-電梯所在樓層顯示- -電梯外人上升

17、請(qǐng)求信號(hào)顯示- -電梯外人下降請(qǐng)求信號(hào)顯示- -電梯內(nèi)請(qǐng)求信號(hào)顯示- -看門狗報(bào)警信號(hào)- -電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào)- 電機(jī)控制信號(hào)和電梯運(yùn)動(dòng)-文件名:dianti.vhd。-功能:6層樓的電梯控制系統(tǒng)。最后修改日期:2004.4.12。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dianti isport ( clk : in std_logic;full,deng,quick,clr : in std_logic

18、;c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; d1,d2,d3,d4,d5,d6 : in std_logic;g1,g2,g3mg5,g6 : in std_logic;door : out std_logic_vector(1 downto 0); led : out std_logic_vector(6 downto 0); led_c_u:out std_logic_vector(5 downto 0); led_c_d:out std_logic_vector(5 d

19、ownto 0); led_d : out std_logic_vector(5 downto 0); wahaha : out std_logic;ud,alarm : out std_logic;up,down : out std_logic );end dianti;設(shè)計(jì)課題六、出租車?yán)锍逃?jì)價(jià)器的設(shè)計(jì)、設(shè)計(jì)任務(wù)及要求本出租車計(jì)費(fèi)器要實(shí)現(xiàn)的功能是出租車按行駛里程收費(fèi),起步費(fèi)為7.0元,行駛3公里后再按2元/公里計(jì)費(fèi),車停時(shí)不計(jì)費(fèi)。能預(yù)置起步費(fèi)和每 公里收費(fèi),并能模擬汽車啟動(dòng)、停止、車速等狀態(tài)。、設(shè)計(jì)原理及框圖如下圖,當(dāng)起/停開關(guān)及清零信號(hào)resets為1'時(shí),汽車啟動(dòng)就開始 進(jìn)入計(jì)

20、費(fèi)器工作狀態(tài)(即在基本速率端輸入一個(gè)時(shí)鐘信號(hào)clk表示每來一個(gè)脈沖,汽車運(yùn)行了 0.1公里),最終通過狀態(tài)顯示電路模塊將車費(fèi)和里程 顯示出來(有一位小數(shù))。通過改變車速選擇端的輸入值可以實(shí)現(xiàn)模擬汽 車行駛的快慢。當(dāng)起/停開關(guān)變?yōu)?',則計(jì)費(fèi)器處于保持狀態(tài),顯示的是 當(dāng)前的計(jì)費(fèi)結(jié)果和里程,而當(dāng) reset®號(hào)為1'時(shí),無論其它端輸入什么 信號(hào),輸出都為0'。車速選擇reset車速控制模塊里程 計(jì)數(shù) 模塊計(jì)費(fèi)*計(jì)數(shù) 模塊七段4數(shù)碼 管顯 小命動(dòng)態(tài)掃描電路模塊圖6-1出租車計(jì)費(fèi)器原理框圖設(shè)計(jì)流程圖圖6-2整體系統(tǒng)的編程流程圖頂層模塊設(shè)計(jì)出租車計(jì)費(fèi)器系統(tǒng)結(jié)構(gòu)的實(shí)現(xiàn) 此

21、圖能完全實(shí)現(xiàn)設(shè)計(jì)任務(wù)的要求 原理圖如下:型唾1; 御儂磔白、ckreset.putput,領(lǐng)即_ gieirr-czz> out20-j> cut21用畫斤 vccup_dovm1>-h>out22就如解口, ,也做丁 insotutjlh即out23clrtsold9u koi1>ihfput 世?匕-1_>加密:7 5ko2l_>- input - vcc.jyh>out31利耐094 s,閹/44 :19ddi二"町olftputout32cut33 vgg iwput 比巴rimltt -i =c=>jrki81pfei8

22、3gutmjt 彘th! itt '-czz> <m140-i ""i 'ajt4l'ji肝pmr jjd>第2jifei 1前痂3,1、mh3州一卿fir¥l-=>ei72ojgo/pfei73omitfout61卅聲國::|itei73we2ml63/麗二:迎曹:sr- output"hi>: .rpltt"cout:4-1.:-koiko2fenpin1&elkclockssuntreselglkj.)m *. *上 1 j."遙t j a -l-r u u 1 hs

23、um£ 3 . . 0cnt16.oi*3ccmcnt 10resetclkore se tocqutup downout6ddresetupjjuwnclk2£ nrbler e setsyo 3 . . 0jupdd>wmptics. 1. 031d3. - 0coutfcnt9sout4oirt5a*.resetout20unim_ vcc ihpiwiwot vccup.duvvn loadclk2e nrbliere sie t mvo1 3 _ _ 01,up ucl wmi,v 1 c 9 . h 6l_ o fto 2.5 5d3 . . 0口口 u

24、i| r*ccmjlto輸ii047md被6州 而施32 j 永ii05 口; 而皿c(xnt1 ccmjrts coint3mr."w'(m2其中,koi、ko2為車速控制開關(guān),clk為時(shí)鐘信號(hào),reset為復(fù)位信號(hào),up_down 為起/停控制信號(hào),count為預(yù)置每公里收費(fèi)的信號(hào),load為預(yù)置使能信號(hào),dd 為預(yù)置起步費(fèi)信號(hào),out5、out4為記錄里程的整數(shù)位,out6為記錄里程的小數(shù) 位,outi、out2為記錄費(fèi)用的整數(shù)位,out3為記錄費(fèi)用的小數(shù)位。設(shè)計(jì)課題七、數(shù)字鐘的設(shè)計(jì)一.設(shè)計(jì)任務(wù)設(shè)計(jì)一個(gè)數(shù)字時(shí)鐘,基本功能如下:1 .準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯式時(shí)、分、秒的時(shí)

25、間;2 .小時(shí)的計(jì)時(shí)要求為“ 12進(jìn)1”,分和秒的計(jì)時(shí)要求為 60進(jìn)位;3 .系統(tǒng)具有校正時(shí)間功能。系統(tǒng)的擴(kuò)展功能:定時(shí)控制;.參考設(shè)計(jì)方案數(shù)字鐘電路系統(tǒng)由主體電路和擴(kuò)展電路兩大部分組成。其中主體電路完成數(shù)字鐘的基本功能,擴(kuò)展電路完成數(shù)字鐘的定時(shí)控制擴(kuò)展功能。系統(tǒng)的工作原理是: 振蕩器產(chǎn)生的穩(wěn)定的高頻脈沖信號(hào),作為數(shù)字鐘的時(shí)間基準(zhǔn),然后經(jīng)分頻器輸出標(biāo)準(zhǔn)脈沖。 秒計(jì)數(shù)器計(jì)滿60后向分計(jì)數(shù)器進(jìn)位,分計(jì)數(shù)據(jù)計(jì)滿60后向小時(shí)計(jì) 數(shù)器進(jìn)位,小時(shí)計(jì)數(shù)器按照“12進(jìn)1”規(guī)律計(jì)數(shù)。計(jì)數(shù)器的輸出分別經(jīng)譯碼器送顯示器顯示。 計(jì)時(shí)出現(xiàn)誤差時(shí)可以用校正電路校時(shí)、校分、校秒。數(shù)字鐘電路系統(tǒng)的組成框圖如圖9-1所示。主體

26、電路1定時(shí)控制擴(kuò)展電路振蕩器一分頻器圖7-1數(shù)字鐘電路系統(tǒng)框圖設(shè)計(jì)課題八、電子密碼鎖的設(shè)計(jì)與實(shí)現(xiàn)電子數(shù)字密碼鎖能夠事先設(shè)置一組或多組的口令,當(dāng)破解者試探次數(shù)超過一定次數(shù)時(shí),進(jìn)入死鎖狀態(tài)并發(fā)出報(bào)警.與普通機(jī)械鎖相比,它有許多獨(dú)特的優(yōu)點(diǎn):保密性好,防盜性強(qiáng),可 以不用鑰匙,記住密碼即可開鎖等.隨著電子技術(shù)的發(fā)展,電子密碼鎖的設(shè)計(jì)也在不斷地發(fā)展 一.電子密碼鎖的設(shè)計(jì)要求該密碼鎖的密碼由六位十進(jìn)制數(shù)字組成, 初始設(shè)定“000000':可由用戶任意設(shè)置密碼, 密碼輸入正確時(shí)開鎖,連續(xù)三次輸入錯(cuò)誤密碼時(shí)系統(tǒng)報(bào)警。 同時(shí)為保證密碼鎖主人能打開密 碼鎖,設(shè)置一個(gè)萬能密碼,在主人忘記密碼時(shí)使用。二、參考

27、設(shè)計(jì)方案此設(shè)計(jì)問題可分為密碼輸入(含編碼功能)刪除控制模塊、寄存模塊、比較模塊、掃描 顯示模塊幾個(gè)部分構(gòu)成。(圖8-1)輸入與設(shè)置密碼/密碼鎖/*信號(hào)定義:n0、n1n9:數(shù)據(jù)開關(guān),分別代表0,1,29;back:刪除信號(hào);check:密碼檢驗(yàn)信號(hào);set :密碼確認(rèn)信號(hào);close:關(guān)鎖信號(hào);lock:密碼鎖狀態(tài)顯示信號(hào);num! num2 num3 num4密碼輸出顯示信號(hào),每個(gè)數(shù)代表四位二進(jìn)制數(shù)*/modulecode(n0,n1,n3,n4,n5,n6,n7,n8,n9,back,check,set,close,lock,num1,num2, num3,mum4,clk);input n0,n1,n3,n4,n5,n6,n7,n8,n9;input bac

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論