版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、成績(jī)anhui xinhua universityeda技術(shù)課程設(shè)計(jì)論文題目電子密碼鎖設(shè)計(jì)課程名稱eda技術(shù)實(shí)訓(xùn)院 (系)電子通信工程學(xué)院專業(yè)班級(jí)10電子信息工程3班學(xué)生姓名學(xué)號(hào) 1042051302設(shè)計(jì)地點(diǎn)eda實(shí)驗(yàn)室指導(dǎo)教師設(shè)計(jì)起止時(shí)間:2012年12月3日至2012年12月21日目錄第1章概述3第2章 設(shè)計(jì)要求4第3章總體框圖5第4章功能模塊74. 1輸入模塊74.2控制模塊114. 3 顯不模塊18第5章總體設(shè)計(jì)電路圖20第6章設(shè)計(jì)心得體會(huì)21第1章概述在當(dāng)今社會(huì)屮,人們對(duì)丁隱私的保護(hù)和對(duì)物品安全的重視程度與h俱增。因 此,使用了現(xiàn)代電了技術(shù)的電了密碼鎖便有了廣泛的應(yīng)用麗景。本次所設(shè)計(jì)
2、的電 子密碼鎖,能夠?qū)崿F(xiàn)該六位十進(jìn)制并行密碼保護(hù),當(dāng)輸入的密碼與鎖內(nèi)密碼一致 吋,綠燈亮,開(kāi)鎖,當(dāng)輸入的密碼與鎖內(nèi)的密碼不一致吋,紅燈亮,不能開(kāi) 鎖。密碼鎖的密碼可由用戶口行設(shè)置,如果用戶忘記密碼,可以用初始密碼來(lái)重 新設(shè)置密碼。為人們的財(cái)產(chǎn)、信息安全捉供了可靠地保障。木次設(shè)計(jì)基于vhdl語(yǔ)言,對(duì)并行六位電了密碼鎖進(jìn)行設(shè)計(jì),并對(duì)設(shè)計(jì)過(guò) 程進(jìn)行了詳細(xì)描述。屯子密碼鎖在對(duì)財(cái)產(chǎn)安全保護(hù)等方面都有著重要作用 應(yīng)用 前景非常廣泛。開(kāi)鎖代碼為六位十進(jìn)制數(shù),當(dāng)輸入代碼的位數(shù)和位值與鎖內(nèi)給定 的密碼一致,口按規(guī)定程序開(kāi)鎖時(shí),方可開(kāi)鎖,并點(diǎn)亮開(kāi)鎖指示燈。否則,系統(tǒng) 進(jìn)入“錯(cuò)誤”狀態(tài)。開(kāi)鎖程序由設(shè)計(jì)者確定,并耍求
3、鎖內(nèi)給定的密碼是可調(diào)的 且預(yù)置方便、保密性好。在這我將設(shè)計(jì)一個(gè)具冇較低成本的電子密碼鎖,本文講述了我整個(gè)設(shè)計(jì)過(guò) 程及收獲。講述了電子密碼鎖的的工作原理以及各個(gè)模塊的功能,并講述了所有 部分的設(shè)計(jì)思路,對(duì)各部分電路方案的選擇、元器件的篩選、以及對(duì)它們的調(diào)試、 對(duì)波形圖的分析,到最后的總體圖的分析。第2章設(shè)計(jì)要求本設(shè)計(jì)名稱為基于vhdl語(yǔ)言的電子密碼鎖,用四個(gè)模塊,分別為時(shí)序控制模塊、 輸入模塊、控制模塊、顯示模塊,來(lái)控制密碼的輸入、驗(yàn)證、修改與顯示。設(shè)計(jì)所要實(shí)現(xiàn)的功能為:1、數(shù)碼輸入:設(shè)計(jì)六位密碼的電子密碼鎖,用四個(gè)撥碼開(kāi)關(guān)(keylkey4)輸入,并通過(guò)七段數(shù)碼管顯示輸入密碼。2、密碼驗(yàn)證:輸
4、入密碼后,密碼正確時(shí)開(kāi)鎖,綠燈亮,紅燈滅,表示開(kāi)鎖成功。3、錯(cuò)誤顯示:當(dāng)密碼輸入錯(cuò)誤時(shí),綠燈滅,紅燈亮,表示開(kāi)鎖失敗。4、更改密碼:設(shè)置更改密碼功能5、密碼清除:密碼輸入過(guò)程中可以清除,并重新輸入。6、預(yù)設(shè)初始密碼為學(xué)號(hào)后六位。設(shè)置按鍵述原初始密碼。第3章總體框圖1)設(shè)計(jì)方案:電了密碼鎖,主要由四部分組成:時(shí)序控制電路、密碼輸入電路、密碼鎖控制電路 和密碼鎖顯示電路。時(shí)序控制電路來(lái)控制整個(gè)系統(tǒng)的運(yùn)行時(shí)序,使其不會(huì)發(fā)生混亂。作為電子密碼鎖的輸入電路,可選用的方案有撥碼與按鍵來(lái)控制輸入和觸摸式鍵盤(pán) 輸入等多種。撥碼與按鍵和觸摸式4*4鍵盤(pán)相比簡(jiǎn)單方便而冃成本低,構(gòu)成的電路簡(jiǎn)單, 木設(shè)計(jì)中采用撥碼來(lái)
5、作為該設(shè)計(jì)的輸入設(shè)備。密碼鎖的輸入電路由撥碼輸入、計(jì)數(shù)器、 譯碼器組成。密碼鎖控制電路包括按鍵數(shù)據(jù)的緩沖存儲(chǔ)電路,密碼的清除、存儲(chǔ)、激活電鎖電路(寄 存器清除信號(hào)發(fā)生電路),密碼核對(duì)(數(shù)值比較電路),解鎖電路(開(kāi)/關(guān)門(mén)鎖電路)等兒個(gè) 小的功能電路。數(shù)字電了密碼鎖的顯示信息電路可采用led數(shù)碼顯示管和液晶屏顯示兩種。液品顯 示具冇高速顯示、可靠性高、易于擴(kuò)展和升級(jí)的特點(diǎn),但是普通的液晶存在亮度低、對(duì) 復(fù)雜環(huán)境適應(yīng)能力差的特點(diǎn),但是在木設(shè)計(jì)11任然使用led數(shù)碼管。根據(jù)以上選定的輸入設(shè)備與與顯示器件,并考慮至u現(xiàn)實(shí)各項(xiàng)密碼鎖功能的具體要求, 與系統(tǒng)的設(shè)計(jì)要求,系統(tǒng)設(shè)計(jì)釆用自頂向下的設(shè)計(jì)方案。整個(gè)密
6、碼鎖系統(tǒng)的總體 框圖如圖所示。輸入模塊控制模塊顯示模塊第4章功能模塊4.1輸入模塊1)功能介紹輸入時(shí)冇四個(gè)撥碼鍵控制輸入,每四個(gè)撥碼來(lái)控制一位密碼,再設(shè)一個(gè)撥碼 鍵a,每撥一次此按碼鍵a,表示輸入一位密碼,在用計(jì)數(shù)器來(lái)計(jì)數(shù),每輸入一 位密碼時(shí)計(jì)數(shù)一次,并輸出一位密碼。由于是撥碼輸入,加上消抖電路,使輸入 更加穩(wěn)定。2)輸入模塊與仿真圖形撥碼與按鍵輸入如卜圖 jporner:rtmebar:13.55 mopsinterval:-13.55 ns start:)ps 5.12 us 10.24 us 15.36 us 20 us 25.6 us 30.72 us 35 84 13.55 ns1
7、x 0 乂 4 x 2 x 0 x: 5 乂上圖為撥碼輸入,當(dāng)a給一個(gè)上升沿信號(hào),在qout輸出一個(gè)密碼。計(jì)數(shù)器如下圖七nt4u"tc2aq0.2嚴(yán)5n上圖為計(jì)數(shù)器,沒(méi)輸入一個(gè)密碼計(jì)數(shù)一次。消抖電路如下圖jxiaoduut匚3pin_n ame 1pin_name2pin_n ameinst3inr 3) 程序的輸入在文本區(qū)內(nèi)輸入程序,程序如f: 撥碼按鍵輸入library ieee;use ieee.std_logic_1164.all;entity mimasuo isport(keyo,keyl,key2,key3,ret,a:in std_logic;qout:out std
8、_logic_vector(3 downto 0);end entity mimasuo;architecture one of mimasuo isbeginprocess(a)variable q:std_logic_vector(0 to 3);beginif ret=rthen q:=(others=>'0,);elseif a'event and a=* 1' thenq(3):=key3;q(2):=key2;q(l):=keyl;q(o):=keyo;end if;end if;qout<=q;end process;end architect
9、ure one;計(jì)數(shù)器library ieee;use ieee.std_logic_1164.all;use eee.std_logic_unsigned.all;entity cnt4 isport (a:in std_logic;q:out std_logic_vector(0 to 2);end cnt4;architecture behave of cnt4 issignal ql :std_logic_vector(0 to 2);beginprocess(a)beginif a'event and a=t then ql <= ql + 1;if ql二”110”
10、thenql<=n00r,;end if;end if;end process;q<=q1 ;end behave;4.2控制模塊1)功能介紹開(kāi)鎖時(shí),用四個(gè)撥碼鍵來(lái)輸入密碼,計(jì)數(shù)器來(lái)計(jì)數(shù)密碼的個(gè)數(shù),將輸入的密 碼與用戶密碼在比較器里進(jìn)行比較,當(dāng)輸入的密碼與開(kāi)始時(shí)寄存在寄存器b中 的密碼一樣時(shí),則開(kāi)鎖,綠燈亮ledl為高電平,紅燈滅led2為低電平,當(dāng)密碼 輸入錯(cuò)時(shí),綠燈滅ledl為低電平,紅燈亮122為高電平。當(dāng)修改密碼吋,在寄 存器b中,使key6鍵為高電平,即可在輸入端就能輸入要修改的密碼。按ret 鍵可清除輸入時(shí)輸錯(cuò)的密碼。初始密碼,可以在第一次輸入時(shí),按key6鍵,來(lái) 存儲(chǔ)
11、密碼。2)控制模塊與仿真圖形寄存器a如下圖:elkq00.3key6q10.3i0.2q20.3d0.3q30.3q40.3q50.3 instl2在文本區(qū)內(nèi)輸入程序,程序如下:寄存器alibrary ieee;use ieee.std_logic_1164.all; entity dffl isport(clk:in std_logic;key6:in std_logic;j:in std_logic_vector(0 to 2);d:in std_logic_vector(0 to 3); qo:out std_logic_vector(0 to 3); ql:out std_logic_
12、vector(0 to 3); q2:out std_logic_vector(0 to 3); q3:out std_logic_vector(0 to 3); q4:out std_loglc_vector(0 to 3); q5:out std_logic_vector(0 to 3); end entity dffl;architecture one of dffl isbegin process(j,clk) beginif clk'event and clk=* 1' and key6 = *0* then case j iswhen "001"
13、 =>qo<=d; when ”010“ =>ql<=d;when ”011”=>q2<=d;when "100" =>q3<=d; when "101" =>q4<=d;when n110h=>q5<=d; when others => null ; end case;end if;end process;end one;寄存器b如下圖:r master time bd(ansv<lut13 5510elkb 11m1曰dh 1ut2-40m 1-dlm 114-d2h
14、 15ldm 126 jh 117-j0m 18-jlh 1ljh u>10key6b 1cy 11回q0h 1iy 16±1 qih 1&21q q2m 1iy26田q3h 1cy31(±1 q4h 1iy36田q5h 1吐/ 13 55 nsporter13 79 us interval13 78 uss&tend30. 72 us13 55 m上圖為寄存器氏ezdcdcdczx1iiii_lxzxzzzhdgoo©(-ltjczxz0存儲(chǔ)設(shè)宜的川戶密碼,當(dāng)key6為高電平時(shí),可以來(lái)修改密碼。在文本區(qū)內(nèi)輸入程序,程序如門(mén)寄存器blibra
15、ry ieee;use ieee.std_logic_1164.all;entity dff02 isport(clk:in stdogic;key6:in stdjogic;j:in std_logic_vector(0 to 2); d:in std_logic_vector(0 to 3); qo:out std_loglc_vector(0 to 3); ql:out std_logic_vector(0 to 3); q2:out std_logic_vector(0 to 3); q3:out std_logic_vector(0 to 3); q4:out std_logic_v
16、ector(0 to 3); q5:out std_logic_vector(0 to 3); end entity dff02;architecture one of dff02 isbeginprocess(j,clk)beginif clk'event and elk 1' and key6 = t then case j iswhen "001" =>qo<=d;when "010” =>ql<=d;when ”011”=>q2<=d;when "100" =>q3<=d;
17、when "101" =>q4<=d;when n110n=>q5<=d;when others => null;end case;end if;end process;end one;比較器如下圖:ied1ied2=bijiao1okn00.3n10.3n20.3n30.3n40.3n50.3b00.3b10.3b20.3b30.3b40.3b50.3在文本區(qū)內(nèi)輸入程序,程序如下:比較器library ieee;use ieee.std_logic_1164.all;entity bijiao isport(ok:in stdogic;no,
18、n 1 ,n2,n3,n4,n5,b0,b 1 ,b2,b3,b4,b5: in std_logic_vector(0 to 3); ledkout std_logic;led2:out stdogic);end;architecture one of bijiao issignal qi,q2 : std_logic ;beginprocess(no,n 1 ,n2,n3,n4,n5,b0,b 1 ,b2,b3,b4,b5,ok)beginifok=* 1' thenif no=bo and nl=bl and n2=b2 and n3=b3 and n4=b4 and n5=b5
19、then qi v二 t;q2 <= o ;else qi <= o;q2 v二 t;end if;end if;end process;ledl<=ql;led2<=q2;end one;六選一選擇器如下圖2nivalue13 . 5eio jm iml-j(0h i22jlh i3“2】h i qoh ?1»r9 qih inr 14l±l q?m119田q3h i諄24田q4h ;1*29 q5h<34s ltdh 1 ym ;上圖為六選一選擇器恰 master time bar: a9.12 ginterval:911 usstart:
20、end13.55 ns 勺勺 pointer:在文本區(qū)內(nèi)輸入程序,程序如下:六選一選擇器library ieee;use eee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux61aisport (q0,ql,q2,q3,q4,q5:in std_logic_vector(0 to 3); j:in std_logic_vector(0 to 2);led: out std_logic_vector(5 downto 0);y:out std_logic_vector(0 to 3);end;architecture
21、one of mux61a isbeginprocess (j)begincase j iswhen noooh=>led <= n011111n;y<=q0;when ”001 "=>led v二 t01111 ”;yv=q 1;when n010n=>led v= ”110111”;yv=q2;when n011n=>led <="111011 ”;y v=q3;when t()()“=>led <= h11110r,;y<=q4;when n10r=>led <= "111110n;y&
22、lt;=q5;when others => null ;end case ;end process ;end one ;4.3顯示模塊1)功能介紹將密碼用bcd七段數(shù)碼管顯示2)顯示模塊與仿真波形圖,如下圖-tiecl7s a0.3ted7s0.33匚instild1mmethnebar9825 mporter:292mirtervat6.91nsstartent120”)ps20 0 m40 0 m60 0 m80 2a:bvalue tt9.83 nsb 01100jb0b0b0b0b1b1b0b 0001b1b0b0b00110000叫0 mltyl-e-2l±l2-eu
23、l-m-$-/ilm-tdrl0001上圖將bcd碼轉(zhuǎn)化到七段譯碼電路上在文本區(qū)內(nèi)輸入程序,程序如門(mén)七段譯碼器library tree;use ieee. std logic 1164. all;mmmmentity decl7s isport (a:in std logic vector(0 to 3 ); led7s:out std_logic_vector(0 to 6); end;architecture one of dccl7s isbeginprocess(a)begincasea iswhen0000二lcd7s<=1000000when0001=>led7s<=1111001when0010二led7s<=0100100when0011二led7s二0110000whe n0100二led7s<=0011001when0101二lcd7s<=0010010when0110=>led7s<="0000010"when0111二led7s<=1111000when1000二led7s二0000000whe n1001二led7s<=0010000whenothers =)null;end ca
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 關(guān)于土地流轉(zhuǎn)協(xié)議
- 顱縫早閉病因介紹
- 醫(yī)患爭(zhēng)議調(diào)解協(xié)議書(shū)
- 2025就業(yè)協(xié)議樣本
- 河南省許昌市(2024年-2025年小學(xué)六年級(jí)語(yǔ)文)統(tǒng)編版質(zhì)量測(cè)試(下學(xué)期)試卷及答案
- 《電機(jī)技術(shù)應(yīng)用》課件 3.1.2 直流電機(jī)電樞繞組
- (可研報(bào)告)天津東疆保稅區(qū)設(shè)立spv公司可行性報(bào)告
- (2024)紙塑復(fù)合袋生產(chǎn)建設(shè)項(xiàng)目可行性研究報(bào)告(一)
- (2024)觀光餐廳建設(shè)項(xiàng)目可行性研究報(bào)告(一)
- 2023年天津市濱海新區(qū)八所重點(diǎn)學(xué)校高考語(yǔ)文聯(lián)考試卷
- 園林工程智慧樹(shù)知到答案2024年浙江農(nóng)林大學(xué)
- 游泳社會(huì)指導(dǎo)專項(xiàng)理論知識(shí)題庫(kù)及參考答案
- 2025屆高考語(yǔ)文一輪總復(fù)習(xí):120個(gè)文言實(shí)詞
- ICU常用的鎮(zhèn)靜鎮(zhèn)痛藥物特點(diǎn)和應(yīng)用培訓(xùn)課件
- 2024-2030年中國(guó)飛行時(shí)間(ToF)傳感器行業(yè)市場(chǎng)發(fā)展趨勢(shì)與前景展望戰(zhàn)略分析報(bào)告
- 2024年新蘇教版科學(xué)六年級(jí)上冊(cè)全冊(cè)知識(shí)點(diǎn)
- 砼結(jié)構(gòu)構(gòu)件制造行業(yè)產(chǎn)業(yè)鏈協(xié)同與價(jià)值鏈優(yōu)化
- 人教版五年級(jí)數(shù)學(xué)上冊(cè)第四單元《可能性》全部集體備課教學(xué)設(shè)計(jì)
- 機(jī)械工業(yè)工程建設(shè)項(xiàng)目設(shè)計(jì)文件編制標(biāo)準(zhǔn)
- 《思想道德與法治》復(fù)習(xí)題(一)
- 《物聯(lián)網(wǎng)工程導(dǎo)論》課件 項(xiàng)目5 智慧小區(qū)系統(tǒng)集成架構(gòu)設(shè)計(jì)(6學(xué)時(shí))
評(píng)論
0/150
提交評(píng)論