程控信號(hào)發(fā)生器論文_第1頁(yè)
程控信號(hào)發(fā)生器論文_第2頁(yè)
程控信號(hào)發(fā)生器論文_第3頁(yè)
程控信號(hào)發(fā)生器論文_第4頁(yè)
程控信號(hào)發(fā)生器論文_第5頁(yè)
已閱讀5頁(yè),還剩12頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、1概述11題目名21.2功能和技術(shù)指標(biāo)要求21.3國(guó)內(nèi)外相關(guān)情況概述21.3.1波形發(fā)生器的發(fā)展?fàn)顩r21.3.2國(guó)內(nèi)外波形發(fā)生器產(chǎn)品比較31.3.3本課題在國(guó)內(nèi)外的發(fā)展?fàn)顩r42技術(shù)方案錯(cuò)誤!未定義書(shū)簽。2. 1波形產(chǎn)生原理52.2總體設(shè)計(jì)方案錯(cuò)誤!未定義書(shū)簽。2.3方案論證錯(cuò)誤!未定義書(shū)簽。3硬件設(shè)計(jì)73. 1波形產(chǎn)生電路73. 2電源電路83.3單片機(jī)電路94軟件設(shè)計(jì)104. 1軟件功能說(shuō)明104. 2軟件總流程104.3各功能軟件13 5附錄一附錄二第一章概述1.1題目名程控信號(hào)發(fā)生器設(shè)計(jì)1.2功能和技術(shù)指標(biāo)要求(1)輸出電壓:交流24v頻率10lookllz可調(diào), 頻率10lookllz

2、可調(diào), 頻率10lookhz可調(diào), 頻率10lookhz可調(diào),幅值可調(diào) 幅值可調(diào) 幅值可調(diào) 幅值可調(diào)(2)輸出波形: 連續(xù)矩形波, 連續(xù)止弦波, 連續(xù)鋸齒波, 連續(xù)三角波,單個(gè)正弦波,每按一次輸出開(kāi)關(guān)輸出一個(gè)正弦波,周期時(shí)間,幅值可調(diào) 單個(gè)矩形波,每按一-次輸出開(kāi)關(guān)輸出一個(gè)矩形波脈沖,波脈沖的寬度、周期吋間,幅值可調(diào) 單個(gè)三角波,每按一次輸出開(kāi)關(guān)輸出一個(gè)三角波,周期時(shí)間,幅值可調(diào) 單個(gè)鋸齒波,每按一次輸出開(kāi)關(guān)輸出一個(gè)鋸齒波,周期時(shí)間,幅值可調(diào)(3)輸出阻抗:w100q(4)最大輸出電流:10ma(5)信號(hào)輸岀幅值在105000mv之間可調(diào),相鄰兩檔幅值變化不超過(guò)1%(6)信號(hào)輸出頻率可調(diào),相鄰

3、兩檔幅值變化不超過(guò)1%(7)輸出信號(hào)頻率、幅值數(shù)字可調(diào)1.3國(guó)內(nèi)外相關(guān)情況概述1.3.1波形發(fā)生器的發(fā)展?fàn)顩r波形發(fā)生器亦稱函數(shù)發(fā)生器,作為實(shí)驗(yàn)用信號(hào)源,是現(xiàn)今各種電子電路實(shí)驗(yàn)設(shè)計(jì)應(yīng)用中必不可少 的儀器設(shè)備z。h前,市場(chǎng)上常見(jiàn)的波形發(fā)生器多為純破件的搭接而成,幾波形種類(lèi)冇限,多為鋸 齒、正弦、方波、三角等波形。信號(hào)發(fā)生器作為一種常見(jiàn)的應(yīng)用電了儀器設(shè)備,傳統(tǒng)的可以完全由硬 件電路搭接而成,如采用555振蕩電路發(fā)生正弦波、三角波和方波的電路便是可取的路徑之一,不用 依靠單片機(jī)。但是這種電路存在波形質(zhì)量差,控制難,可調(diào)范恫小,電路復(fù)雜和體積大等缺點(diǎn)。在科 學(xué)研究和生產(chǎn)實(shí)踐中,如工業(yè)過(guò)程控制,生物醫(yī)學(xué),

4、地震模擬機(jī)械振動(dòng)等領(lǐng)域常常要用到低頻信號(hào)源。 而由硬件電路構(gòu)成的低頻信號(hào)其性能難以令人滿意,而且由于低頻信號(hào)源所需的rc很人;人電阻,人 電容在制作上冇困難,參數(shù)的精度亦難以保證;體積大,漏電,損耗顯著更是其致命的弱點(diǎn)。一旦工 作需求功能冇增加,則電路復(fù)雜程度會(huì)大大增加。波形發(fā)生器是能夠產(chǎn)生大量的標(biāo)準(zhǔn)信號(hào)和用戶定義 信號(hào),并保證高精度、高穩(wěn)定性、可重復(fù)性和易操作性的電子儀器。函數(shù)波形發(fā)生器具有連續(xù)的相位 變換、和頻率穩(wěn)定性等優(yōu)點(diǎn),不僅可以模擬各種復(fù)雜信號(hào),還可對(duì)頻率、輛值、相移、波形進(jìn)行動(dòng)態(tài)、 及時(shí)的控制,并能夠與其它儀器進(jìn)行通訊,組成自動(dòng)測(cè)試系統(tǒng),因此被廣泛用于自動(dòng)控制系統(tǒng)、震動(dòng) 激勵(lì)、通訊

5、和儀器儀表領(lǐng)域。在70年代前,信號(hào)發(fā)生器主要有兩類(lèi):正弦波和脈沖波,而函數(shù)發(fā)生器介于兩類(lèi)之間,能夠提 供正弦波、余弦波、方波、三角波、上弦波等兒種常用標(biāo)準(zhǔn)波形,產(chǎn)生其它波形時(shí),需要采用較復(fù)雜 的電路和機(jī)電結(jié)合的方法。這個(gè)時(shí)期的波形發(fā)生器多采用模擬電子技術(shù),而且模擬器件構(gòu)成的電路存 在著尺寸人、價(jià)格貴、功耗人等缺點(diǎn),并且要產(chǎn)生較為復(fù)雜的信號(hào)波形,則電路結(jié)構(gòu)非常復(fù)雜。同時(shí), 主要表現(xiàn)為兩個(gè)突出問(wèn)題,一是通過(guò)電位器的調(diào)節(jié)來(lái)實(shí)現(xiàn)輸出頻率的調(diào)節(jié),因此很難將頻率調(diào)到某一 固定值;二是脈沖的占空比不可調(diào)節(jié)。在70年代后,微處理器的出現(xiàn),可以利用處理器、a/d/和d/a,硬件和軟件使波形發(fā)生器的功 能擴(kuò)人,產(chǎn)

6、生更加復(fù)雜的波形。這時(shí)期的波形發(fā)生器多以軟件為主,實(shí)質(zhì)是采用微處理器對(duì)dac的程 序控制,就可以得到各種簡(jiǎn)單的波形。90年代末,出現(xiàn)兒種真正高性能、高價(jià)格的函數(shù)發(fā)牛器、但是hp公司推岀了型號(hào)為hp770s的 信號(hào)模擬裝置系統(tǒng),它由hp8770a任意波形數(shù)字化和hp1776a波形發(fā)生軟件纟r成。hp8770a實(shí)際上也 只能產(chǎn)生8中波形,而且價(jià)格昂貴。不久以厲,analogic公司推出了型號(hào)為data-2020的多波形合 成器,lecroy公司牛產(chǎn)的型號(hào)為9100的任意波形發(fā)牛器等。而近兒年來(lái),國(guó)際上波形發(fā)生器技術(shù)發(fā)展主要體現(xiàn)在以下兒個(gè)方而:(1)過(guò)去由于頻率很低應(yīng)用的范圍比較狹小,輸出波形頻率的

7、提高,使得波形發(fā)生器能應(yīng)用于越 來(lái)越廣的領(lǐng)域。波形發(fā)牛器軟件的開(kāi)發(fā)正使波形數(shù)據(jù)的輸入變得更加方便和容易。波形發(fā)牛器通常允 許用一系列的點(diǎn)、直線和囪定的函數(shù)段把波形數(shù)據(jù)存入存儲(chǔ)器。同時(shí)可以利用一種強(qiáng)有力的數(shù)學(xué)方程 輸入方式,復(fù)雜的波形可以由兒個(gè)比較簡(jiǎn)單的公式復(fù)合成v二f (t)形式的波形方程的數(shù)學(xué)表達(dá)式產(chǎn)生。 從而促進(jìn)了函數(shù)波形發(fā)牛器向任意波形發(fā)牛器的發(fā)展,各種計(jì)算機(jī)語(yǔ)言的飛速發(fā)展也對(duì)任意波形發(fā)牛 器軟件技術(shù)起到了推動(dòng)作用。目前可以利用可視化編程語(yǔ)言(如visual basic , visual c等等)編寫(xiě) 任意波形發(fā)生器的軟而板,這樣允許從計(jì)算機(jī)顯示屏上輸入任意波形,來(lái)實(shí)現(xiàn)波形的輸入。(2)

8、與vx1資源結(jié)合。日詢,波形發(fā)生器由獨(dú)立的臺(tái)式儀器和適用于個(gè)人計(jì)算機(jī)的插卡以及新近 開(kāi)發(fā)的vxi模塊。由于vxi總線的逐漸成熟和對(duì)測(cè)量?jī)x器的髙要求,在很多領(lǐng)域需要使用vxi系統(tǒng)測(cè) 量產(chǎn)生復(fù)雜的波形,vxi的系統(tǒng)資源提供了明顯的優(yōu)越性,但由于開(kāi)發(fā)vxi模塊的周期長(zhǎng),而且需要 專門(mén)的vxi機(jī)箱的配套使用,使得波形發(fā)生器vxi模塊僅限于航空、軍事及國(guó)防等大型領(lǐng)域。在民用 方面,vxi模塊遠(yuǎn)遠(yuǎn)不如臺(tái)式儀器更為方便。(3)隨著信息技術(shù)蓬勃發(fā)展,臺(tái)式儀器在走了一段卜坡路之后,乂重新繁榮起來(lái)。不過(guò)現(xiàn)在新的 臺(tái)式儀器的形態(tài),和幾年前的己有很大的不同。這些新一代臺(tái)式儀器具有多種特性,可以執(zhí)行多種功 能。1何且外

9、形尺寸與價(jià)格,都比過(guò)去的類(lèi)似產(chǎn)品減少了一半。1.3.2國(guó)內(nèi)外波形發(fā)生器產(chǎn)品比較早在1978年,由美國(guó)wavetek公司和日本東亞電波工業(yè)公司公布了最高取樣頻率為5mhz , 可以形成256點(diǎn)(存儲(chǔ)長(zhǎng)度)波形數(shù)據(jù),垂直分辨率為8bit,主要用于振動(dòng)、醫(yī)療、材料等領(lǐng)域的笫 一代高性能信號(hào)源,經(jīng)過(guò)將近30年的發(fā)展,伴隨著電子元器件、電路、及生產(chǎn)設(shè)備的高速化、高集成 化,波形發(fā)生器的性能有了飛速的提高。變得操作越來(lái)越簡(jiǎn)單而輸出波形的能力越來(lái)越強(qiáng)。波形操作 方法的好壞,是由波形發(fā)生器控制軟件質(zhì)量保證的,編輯功能增加的越多,波形形成的操作性越好。農(nóng)1. 1給出了幾種波形發(fā)生器的性能指標(biāo),從中可以看出當(dāng)今出

10、界上重耍電子儀器生產(chǎn)商在波形發(fā)生器上的研制水平。農(nóng)1.1 一些波形發(fā)生器的性能指標(biāo)公司tektronixtektronix橫河電機(jī)wavetek型號(hào)ag320awg710ag5100295最高釆用頻率16ms/s4gms/slgms/s50ms/s通道數(shù)2224垂直分辨率12bit8bit8bit12bit存儲(chǔ)容量64k8m8m64k輸出電壓10v2. 5v2 v15v1.3.3本課題在國(guó)內(nèi)外的研究現(xiàn)狀二十一世紀(jì),隨著集成電路技術(shù)的高速發(fā)展,出現(xiàn)了多種工作頻率可過(guò)ghz的dds芯片,同時(shí) 也推動(dòng)了函數(shù)波形發(fā)生器的發(fā)展,2003年,agilent的產(chǎn)品33220a能夠產(chǎn)生17種波形,最高頻率 可

11、達(dá)到20m, 2005年的產(chǎn)品n6030a能夠產(chǎn)生高達(dá)500mhz的頻率,采樣的頻率可達(dá)1.25gh肌 由 上面的產(chǎn)品可以看出,函數(shù)波形發(fā)生器發(fā)展很快。對(duì)目前而言,國(guó)外(美)研究和使用的信號(hào)發(fā)生器大多要求頻率在10hz-50mhz,產(chǎn)生正弦、三 角、鋸齒、方波、調(diào)幅、直流等波形,而國(guó)內(nèi)則對(duì)頻率在5*10_3hz-40mhz,能產(chǎn)生正選-三角等基本 波形已經(jīng)調(diào)幅、調(diào)頻、ttl等的信號(hào)發(fā)生器需求人第二章技術(shù)方案2.1波形產(chǎn)生原理方案一:使用集成函數(shù)發(fā)生器芯片集成函數(shù)芯片冇tcl8038等。icl8038能輸出方波、三角波、正弦波和鋸齒 波四種不同的波形,將它作為正弦信號(hào)發(fā)生器。它是電壓控制頻率的集

12、成芯片, 失真度很低??奢斎氩煌耐獠侩妷簛?lái)實(shí)現(xiàn)不同的頻率輸出。但是發(fā)揮部分要求 正弦波以1hz為步進(jìn)増加,所以用tcl8038不方便控制。方案二:采用鎖相式頻率合成(pll)鎖相式頻率合成是將一個(gè)高穩(wěn)定度和高精度的標(biāo)準(zhǔn)頻率經(jīng)過(guò)加減乘除的運(yùn) 算產(chǎn)生同樣穩(wěn)定度和精確度的大量離散頻率的技術(shù),它在一定程度上解決了既要 頻率穩(wěn)定精確,又要頻率在較人范圍內(nèi)可變的矛盾,但頻率受vco叮變頻率范圍 影響,高低頻率比不可能作得很高,隨著頻率穩(wěn)定度的提高,pll的鎖定時(shí)間越 來(lái)越來(lái)越長(zhǎng)。方案三:直接數(shù)字頻率合成(dds)直接數(shù)字頻率合成技術(shù)是根據(jù)相位間隔對(duì)波形信號(hào)進(jìn)行取樣、量化、編碼, 然后儲(chǔ)存在eprom中構(gòu)

13、成一個(gè)波形查詢表。頻率合成吋,相位累加器在參考時(shí)鐘 的作用下對(duì)時(shí)鐘脈沖進(jìn)行計(jì)數(shù),同時(shí)將累加器輸出的累加相位與頻率控制字k 預(yù)置的相位増量相加,以相加后的吉果形成波形查詢表的地址;取出表中與該相 位對(duì)應(yīng)的單元中的幅度量化波形函數(shù)值,經(jīng)d/a轉(zhuǎn)換器輸出模擬信號(hào),再經(jīng)低 通濾波器平滑得到符合要求的模擬信號(hào)。相位累加器的最大計(jì)數(shù)長(zhǎng)度與波形查詢 表中所存儲(chǔ)的相位分隔點(diǎn)數(shù)相同,由于相位累加器的相位增量不同,將導(dǎo)致一周 期內(nèi)的取樣點(diǎn)數(shù)不同,在取樣頻率(出參考時(shí)鐘頻率決定)不變的情況下,輸出信 號(hào)的頻率也相應(yīng)變化。如果設(shè)定累加器的初始相位,則叮以對(duì)輸出信號(hào)進(jìn)行相位 控制。目前有許多可用作信號(hào)發(fā)生器的專用dds

14、集成芯片,如adt公司推出的ad9850其基本結(jié)構(gòu)原理圖如圖2o圖2 dds結(jié)構(gòu)原理圖綜合比較以上方案,結(jié)合信號(hào)發(fā)生器產(chǎn)生的頻率穩(wěn)定度和精確度要求,我們 選擇dds直接數(shù)字頻率合成。一方面,dds較信號(hào)發(fā)生器更容易精確控制;另一 方面,dds較鎖相式頻率合成外設(shè)少,更容易實(shí)現(xiàn)。2.2總休技術(shù)方案1 波形設(shè)定:對(duì)任意波形進(jìn)行程序設(shè)定2.單片機(jī)部分:最小系統(tǒng)3鍵盤(pán):用按鍵來(lái)控制輸出波形的種類(lèi)和數(shù)值的輸入4. 顯示部分:采用lcd顯示波形的頻率與幅值5. 低通濾波部分:減少波形的抖動(dòng)、抑制高次諧波、取出主頻f第三章硬件設(shè)計(jì)3.1、波形產(chǎn)生電路本作品采用直接數(shù)字頻率合成技術(shù),使用adt公司的集成dds

15、器件 ad9850o ad9850是ad公司生產(chǎn)的最高時(shí)鐘為125 mhz、采用先跡的cmos技術(shù) 的直接頻率合成器,主要由可編程dds系統(tǒng)、高性能模數(shù)變換器(dac)和高速比較 器3部分構(gòu)成,能實(shí)現(xiàn)全數(shù)字編程控制的頻率合成,并具有時(shí)鐘產(chǎn)牛功能。ad9850的dds系統(tǒng)包括相位累加器和波形查找表,其中相位累加器由-個(gè)加法 器和一個(gè)32位相位寄存器組成,相位寄存器的輸出與外部相位控制字(5位)相加后 作為波形查找表的地址。波形查找表實(shí)際上是一個(gè)和位/幅度轉(zhuǎn)換表,它包含一個(gè)任意 波期的數(shù)字幅度信息,每一個(gè)地址対應(yīng)任意波中0°360°范圍的一個(gè)相位點(diǎn)。查找 表把輸入地址的相位信息

16、映射成任意波幅度信號(hào),然后驅(qū)動(dòng)10 bit的da變換器,輸出 2個(gè)互補(bǔ)的電流,其幅度可通過(guò)外接電阻進(jìn)行調(diào)節(jié)。ad9850還包括一個(gè)高速比較器, 將da變換器的輸出經(jīng)外部低通濾波器后接到此比較器上即可產(chǎn)生一個(gè)抖動(dòng)很小的方 波,這使得ad9850可以方便地川作時(shí)鐘發(fā)生器。3.2、電源電路j 右 icc;?-1zc?tv托: lcc;f3.3、單片機(jī)電路u?rst-20pl0(t2)pl lct2ex)p1jp13pl.4p15plsp32jnt0) p33«jnt1) p3.4ft0) p3 5t1)p3.6cp35(rd)xtal2廣 xtal1gndat852vcc (.ado)po

17、.o ;w1)po_1 (ad2)p0.2 (.w3)p03 .w4)p04 cw5)p0.5 (ad6p0 5 (ad 沁 7(a8)p2o gpll (a10)f12 (au)p13 (a12)p24 ;a13)p2 5 (a14)p15 ;a15)p1?eavpp .ale prog pss; jrxd)p3.0 txd;pm1vcc40 t39圖3.4單片機(jī)最小系統(tǒng)曲于at89c52芯片中,i/o 口內(nèi)置上拉電阻,所以在制作鍵盤(pán)模塊吋可以不 加電阻。木模塊包括16個(gè)鍵位,用來(lái)選擇波形和調(diào)節(jié)類(lèi)型,調(diào)節(jié)所選波形的類(lèi) 型參數(shù)。確認(rèn)輸出和返回主菜單。鍵盤(pán)電路如下圖s?sw3ktsw&s

18、t l0 la 8321p1-o(t2)pl】(t2zx)圖3.5鍵盤(pán)電路此次實(shí)習(xí),我們選用的是1602液晶也叫1602字符型液晶它是 一種專門(mén)用來(lái)顯示字母、數(shù)字、符號(hào)等的點(diǎn)陣型液晶模塊它有若干 個(gè)5x7或者5x11等點(diǎn)陣字符位組成,每個(gè)點(diǎn)陣字符位都口j以顯示一 個(gè)字符。1ok 圖3.6 lcd 1602電路圖第四章軟件設(shè)計(jì)4.1、軟件功能說(shuō)明程序各部分分別做成模塊,按主程序調(diào)用了程序的方式執(zhí)行。此程序的功能 就是要將外部輸入的頻率、幅值數(shù)據(jù)按照一定i辦議和算法變換成dds芯片 (ad9850)所能接受的格式,并送出相應(yīng)的頻率相位控制信號(hào),從而使ad9850 能產(chǎn)生頻率可控的任意信號(hào)。4.2、

19、軟件總流程下而給出程序設(shè)計(jì)流程圖:*開(kāi)始丿lcd初始化鍵盤(pán)初始化顯示開(kāi)機(jī)界面鍵盤(pán)掃描x選正弦波x選方波x選三角波ix返冋主頁(yè)x頻率調(diào)節(jié)x幅度調(diào)節(jié)x調(diào)個(gè)位x調(diào)十位x調(diào)百位x調(diào)千位x調(diào)萬(wàn)位x調(diào)十萬(wàn)位x 無(wú)效圖4.1軟件總流程圖4.3各功能軟件一、鍵盤(pán)掃描子程序如圖4.2所示。因按鍵較多。本系統(tǒng)采用2x8行列式鍵盤(pán)來(lái)節(jié)約i/o 口,并用程序把8根列線全部拉低,再判斷2根行線是否冇低電平,如果沒(méi)有,說(shuō)明沒(méi)冇按 鍵被按下,系統(tǒng)則退出鍵盤(pán)掃描程序,否則,依次拉低列線,然后依次判斷行線是否有低電 平并判斷鍵號(hào),鍵號(hào)確定后再轉(zhuǎn)到鍵號(hào)相對(duì)應(yīng)的功能程序去執(zhí)行。鍵盤(pán)主耍方便用八設(shè)置頻 率、幅度、選擇工作方式等功能。

20、n圖4.2鍵盤(pán)擔(dān)描子程序二、信號(hào)頻率的數(shù)字控制程序流程如圖4.3所示。該部分程序主耍用于將鍵盤(pán)輸入值轉(zhuǎn)換成 十六進(jìn)制數(shù)據(jù),然后產(chǎn)生相應(yīng)的頻率控制字并送至dds芯片,以改變dds的相位增量,最 終輸出和應(yīng)頻率信號(hào)。(權(quán)盤(pán)輸 轉(zhuǎn)16進(jìn)洌產(chǎn)生頻率控制刃送dd訂(結(jié)束)圖4.3信號(hào)頻率數(shù)字控制程序附錄一:總體電路原理圖c xzed 上:h.4hi尢上jlitm.vt3;' 若曲xtae :ctal: gxda:k.e 兇吃.1 a1ck.2 a1:p:3 :a1:k4 ;a15>2j :au訟反審二 nrr72t ia&w c jx&im.:戈尬尬刖科.加 *v.v.1sstv. v-v-蟲(chóng)»vt2d1dvtd2 d3jl57cond-qov72y load dacbl>fq vd z4fss41 :kw=-4xca40丘=參考文獻(xiàn)1 鄭鳳濤,陳金佳基于cpld的數(shù)控止弦波的信號(hào)源的設(shè)計(jì)黎明職業(yè)大學(xué)學(xué) 報(bào),2003,2 徐志軍,徐光輝.cpld/fpga的開(kāi)發(fā)與應(yīng)用.北京:電子工業(yè)岀版社,20023

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論